Nghiên cứu công nghệ FPGA và phát triển các ứng dụng trên kit Spartan 3E

65 20 0
Nghiên cứu công nghệ FPGA và phát triển các ứng dụng trên kit Spartan 3E

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

FPGA (FieldProgrammable Gate Arry) là vi mạch dùng cấu trúc mảng phần tử logic mà người dùng có thể lập trình được. Vi mạch FPGA được cấu thành từ các bộ phận: Các khối logic cơ bản lâp trình được(logic block). Hệ thống mạch liên kết lập trình được. Khối vàora (IO Pads). Phần tử thiết kế săn khác như DSP sline, Ram, ROM, nhân vi xử lý.

BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƢỜNG ĐẠI HỌC DÂN LẬP HẢI PHÒNG - ISO 9001:2008 ĐỒ ÁN TỐT NGHIỆP NGÀNH: ĐIỆN TỬ VIỄN THƠNG Sinh viên : Hồng Văn Thơi Giảng viên hƣớng dẫn : ThS Đồn Hữu Chức HẢI PHỊNG - 2013 BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƢỜNG ĐẠI HỌC DÂN LẬP HẢI PHÒNG - NGHIÊN CỨU CÔNG NGHỆ FPGA VÀ PHÁT TRIỂN CÁC ỨNG DỤNG TRÊN KIT SPARTAN 3E ĐỒ ÁN TỐT NGHIỆP ĐẠI HỌC HỆ CHÍNH QUY NGÀNH: ĐIỆN TỬ VIỄN THƠNG Sinh viên : Hoàng Văn Thơi Giảng viên hƣớng dẫn : ThS Đồn Hữu Chức HẢI PHỊNG – 2013 BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƢỜNG ĐẠI HỌC DÂN LẬP HẢI PHÒNG NHIỆM VỤ ĐỀ TÀI TỐT NGHIỆP Sinh viên : Hoàng Văn Thơi Giảng viên hướng dẫn : ThS Đồn Hữu Chức Tên đề tài nghiên cứu cơng nghệ FPGA phát triển ứng dụng kit Spartan 3E : NHIỆM VỤ ĐỀ TÀI Nội dung yêu cầu cần giải nhiệm vụ đề tài tốt nghiệp(về lý luận, thực tiễn, số liệu cần tính tốn vẽ) …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… Các số liệu cần thiết để thiết kế, tính tốn …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… Địa điểm thực tập tốt nghiệp …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… CÁN BỘ HƢỚNG DẪN ĐỀ TÀI TỐT NGHIỆP Ngƣời hƣớng dẫn thứ nhất: Họ tên: Học hàm, học vị: Cơ quan công tác: Nội dung hướng dẫn: Ngƣời hƣớng dẫn thứ hai: Họ tên: Học hàm, học vị: Cơ quan công tác: Nội dung hướng dẫn: Đề tài tốt nghiệp giao ngày 25 tháng 03 năm 2013 Yêu cầu phải hoàn thành xong trước ngày 29 tháng 06 năm 2013 Đã nhận nhiệm vụ ĐTTN Sinh viên Đã giao nhiệm vụ ĐTTN Người hướng dẫn Hải Phòng, ngày tháng năm 2013 Hiệu trƣởng GS.TS.NGƢT Trần Hữu Nghị PHẦN NHẬN XÉT CỦA CÁN BỘ HƢỚNG DẪN Tinh thần thái độ sinh viên trình làm đề tài tốt nghiệp: …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… Đánh giá chất lƣợng khóa luận (so với nội dung yêu cầu đề nhiệm vụ Đ.T T.N mặt lý luận, thực tiễn, tính tốn số liệu…): …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… Cho điểm cán hƣớng dẫn (ghi số chữ): …………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………… Hải Phòng, ngày … tháng … năm 2013 Cán hƣớng dẫn (Ký ghi rõ họ tên) PHẦN NHẬN XÉT TÓM TẮT CỦA NGƢỜI CHẤM PHẢN BIỆN Đánh giá chất lƣợng đề tài tốt nghiệp mặt thu thập phân tích số liệu ban đầu, sở lý luận chọn phƣơng án tối ƣu, cách tính toán chất lƣợng thuyết minh vẽ, giá trị lý luận thực tiễn đề tài Cho điểm cán phản biện (Điểm ghi số chữ) Hải Phòng, ngày……tháng……năm 2013 Ngƣời chấm phản biện MỤC LỤC MỤC LỤC DANH SÁCH HÌNH VẼ 10 LỜI NÓI ĐẦU 11 NHỮNG TỪ VIẾT TẮT 12 CHƢƠNG TỔNG QUAN VỀ FPGA VÀ NGÔN NGỮ VHDL .13 1.1 TỔNG QUAN VỀ FPGA 13 1.1.1 FPGA gì? 13 1.1.2 Lịch sử đời FPGA 14 a.Khái niệm cấu trúc FPGA 14 b.Vi mạch FPGA đƣợc cấu thành từ phận: 15 1.2 NGÔN NGỮ VHDL .16 1.2.1 Giới thiệu VHDL .16 1.2.2 Các ƣu điểm VHDL 16 1.2.3 Cấu trúc mơ hình hệ thống sử dụng ngơn VHDL .17 a.Entity(Thựcthể) 17 b.Architecture(Kiếntrúc) 18 c.Configuration(Cấuhình) 20 d.Package(Gói) 21 e.Mơ hình kiểm tra hoạt động(Testbench) 22 1.2.4 Các đối tƣợng kiểu liệu VHDL 23 a.Đối tƣợng VHDL 23 b.Kiểu liệu VHDL 24 CHƢƠNG GIỚI THIỆU VỀ SPARTAN-3E KIT BOARD VÀ MÔI TRƢỜNG LẬP TRÌNH ISE 8.2I 31 2.1 SPARTAN -3E KIT BOARD 31 2.1.1 Các thành phần kit Spartan-3E 31 2.1.2Các thông số kỹ thuật số hình ảnh 31 2.1.3 Cấu trúc Spartan-3E 32 2.1.4 Mã số Chip ý nghĩa .33 2.2 SƠ LƢỢC VỀ ISE 8.2 34 MỤC LỤC 2.2.1Tạo Project .34 CHƢƠNG THIẾT KẾMẠCH LOGIC VÀ MỘT SỐ ỨNG DỤNG KẾT NỐI CỦA FPGA TRÊN KIT SPARTAN 3E .39 3.1 Thiết kế mạch logic 39 a.Thiết kế mạch giải mã đƣờng sang đƣờng với ngõ tích cực cao 39 b Thiết kế mạch mã hóa đƣờng sang đƣờng với ngõ vào tích cực cao 40 c.Thiết kế mạch giải mã đa hợp ngõ vào ngõ lựa chọn 41 d.Thiết kế mạch giải mã led đoạn loại anode chung .42 e.Thiết kế mạch so sánh số bit 44 f Thiết kế Flip Flop D 45 3.2MỘT SỐ ỨNG DỤNG KẾT NỐI CỦA FPGA TRÊN KIT SPARTAN 3E .46 a LCD kết nối với Spartan_3E 46 b VGA kết nối với Spartan_ 3E 57 c.Mouse kết nối với Spartan -3E 61 KẾT LUẬN: 64 TÀI LIỆU THAM KHẢO 65 DANH SÁCH HÌNH VẼ Hình 1.1 Cấu trúc tổng quan FPGA 15 Hình 1.2 Khối logic lập trình đƣợc FPGA 15 Hình 1.3 Mạch bán tổng 18 Hình 1.4 Các bƣớc thực project 22 Hình 1.5 Sơ đồ tổng quát chƣơng trình thử(Testbench) 23 Hình 2.1 Spartan-3E Starter Kit Board 32 Hình 2.2 Cấu trúc thành phần Spartan 3E 33 Hình 2.3 Chíp Spartan-3E Xilink với thơng số 33 Hình 2.4 Tạo project 34 Hình 2.5 Lựa chọn thiết bị cho chƣơng trình 35 Hình 2.6 Thêm Module vào chƣơng trình 35 Hình 2.7 Khung chƣơng trình 36 Hình 2.8 viết chƣơng trình 36 Hình 2.9 Gắn chân 37 Hình 2.10 kiểm tra mã nguồn 37 Hình 2.11Kiểm tra việc gắn chân 38 Hình 2.12 Thực kết nối nạp chƣơng trình vào kit 38 Đồ án tốt nghiệp CHƢƠNG end if; when function_set => istep 10: if (txdone = '1') then next_istate istep 11 if (txdone = '1') then next_istate istep 12 if (txdone = '1') then next_istate istep 13 if (txdone = '1') then next_istate istep 14 if (timer_1640us = '1') then next_idone nothing to end case; end process init_sm; time_m: process(istate, count, idone) begin next_count

Ngày đăng: 05/02/2021, 03:46

Tài liệu cùng người dùng

Tài liệu liên quan