(Luận văn thạc sĩ) xây dựng hệ thống máy phát dữ liệu vô tuyến sử dụng công nghệ OFDM cho phát thanh số và truyền dữ liệu luận văn ths kỹ thuật điện tử viễn thông 60 52 70

74 31 0
(Luận văn thạc sĩ) xây dựng hệ thống máy phát dữ liệu vô tuyến sử dụng công nghệ OFDM cho phát thanh số và truyền dữ liệu  luận văn ths  kỹ thuật điện tử viễn thông 60 52 70

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

1 ĐẠI HỌC QUỐC GIA HÀ NỘI TRƯỜNG ĐẠI HỌC CÔNG NGHỆ *** TRẦN VĂN TUYÊN XÂY DỰNG HỆ THỐNG MÁY PHÁT DỮ LIỆU VÔ TUYẾN SỬ DỤNG CÔNG NGHỆ OFDM CHO PHÁT THANH SỐ VÀ TRUYỀN DỮ LIỆU LUẬN VĂN THẠC SĨ Hà Nội – 2011 ĐẠI HỌC QUỐC GIA HÀ NỘI TRƯỜNG ĐẠI HỌC CÔNG NGHỆ -*** TRẦN VĂN TUYÊN XÂY DỰNG HỆ THỐNG MÁY PHÁT DỮ LIỆU VÔ TUYẾN SỬ DỤNG CÔNG NGHỆ OFDM CHO PHÁT THANH SỐ VÀ TRUYỀN DỮ LIỆU Ngành: Công nghệ Điện tử - Viễn thông Chuyên ngành: Kỹ thuật Điện tử Mã số: 60 52 70 LUẬN VĂN THẠC SĨ NGƯỜI HƯỚNG DẪN KHOA HỌC: PGS.TS Trương Vũ Bằng Giang Hà Nội – 2011 MỤC LỤC LỜI NÓI ĐẦU MỤC LỤC DANH SÁCH CÁC TỪ VIẾT TẮT Chương Mơ hình hệ thống OFDM 10 1.1 Mô hình hệ thống OFDM 10 1.2 Các tác vụ hệ thống phát OFDM 11 1.2.1 Điều chế OFDM phía phát 12 1.2.2 Điều chế băng tần sở 15 1.2.3 Biến đổi Fourier 16 1.2.4 Khoảng bảo vệ 19 1.3 Kết luận chương 21 Chương ng m ph t OFDM t n o m h nh ng M 320C6414-DSP 22 2.1 Mơ hình phát triển phần cứng hệ thống OFDM 22 2.2 Kiến trúc, đặc điểm bo mạch nhúng TMS320C6416 DSP KIT 23 2.3 Lựa chọn tham số OFDM máy phát 26 2.3.1 Chiều dài IFFT 26 2.3.2 Chiều dài chuỗi bảo vệ 27 2.3.3 Nulllow Nullhigh 27 2.3.4 Cấu trúc khung khung truyền 27 2.4 Xây dựng khối phát OFDM 28 2.4.1 Đặc tả hàm chức hệ thống 29 2.4.2 Cấu trúc liệu khối phát 31 2.5 Truyền thông thời gian thực với RTDX 32 2.5.1 Giới thiệu chung RTDX 33 2.5.2 Chương trình ứng dụng bo mạch 34 2.5.2.1 Nhận liệu 34 2.5.2.2 Cấu hình RTDX 34 2.5.2.3 Các cấu trúc C làm việc với RTDX 35 2.6 Chương trình ứng dụng PC (Host Application) 38 2.7 Hệ thống điều phối vào Ping – Pong 41 2.7.1 Mơ hình vào kiểu polling 41 2.6.2 Mơ hình vào EDMA 42 2.6.3 Kỹ thuật điều phối vào Ping – Pong 45 2.6.3.1 Vận chuyển liệu kiểu Ping – Pong 46 2.6.3.2 Kết nối cấu hình Ping – Pong 46 2.6.3.3 Luồng điều khiển 47 2.6.3.4 Điều phối trình truyền nhận Ping – Pong phía phát 48 2.7 Thiết kế khối phát vô tuyến 49 2.7.1 Thiết kế khối phát FM Error! Bookmark not defined 2.8 Kết luận chương 49 Chương Phương n đồng ộ ho hệ thống 50 3.1 Giới thiệu hàm trung bình hiệu bình phương (MSE) tối thiểu dùng đồng 50 3.1.1 Hàm tương quan chéo (cross-correlation) 51 3.1.2 Hàm trung bình hiệu bình phương tối thiểu 52 3.2 Đề xuất phương án đồng cho hệ thống 53 3.2.1 Yêu cầu hàm đồng 53 3.2.1.1 Yêu cầu thời gian xử lý 53 3.2.1.2 Yêu cầu giải thuật 54 3.2.2 Thực đồng 54 3.2.2.1 Đồng theo cách đơn giản 54 3.2.2.2 Đồng tiến hành theo hai bước 55 3.3 Kết luận chương đánh giá thuật toán đồng 58 Chương Kết mô thử nghiệm thực tế 59 4.1 Kết phân tích tốc độ truyền dẫn hệ thống 59 4.2 Kết tỷ lệ lỗi bit khơng mã hóa kênh truyền 60 4.3 Kết phân tích tham số thực tế 61 4.4 Kết truyền với liệu thực tế 64 4.4.1 Truyền nhận với môi trường hữu tuyến 64 4.4.2 Truyền nhận với môi trường vô tuyến 66 4.5 Kết luận chương 67 Chương Kết luận chung 68 TÀI LIỆU THAM KHẢO 69 DANH SÁCH CÁC TỪ VIẾT TẮT A ACF Autocorrelation function AIC Analog Interface Circuit AWGN Additive White Gaussian Noise B BER Bit Error Rate: Hệ số bit lỗi BIOS Basic Input Output System BPSK Binary Phase Shift Keying BS Base Station C CCS Code Compose Studio CP Cyclic Prefix D DFT Discrete Fourier Transform DPSK Differential Amplitude Phase Shift Keying DSP Digital Signal Processor DVB Digital Video Broadcasting E EDMA Enhanced Direct Memory Access F FDM Frequency Division Multiplexing FFT Fast Fourier Transform FM Frequency Modulation FSK Frequency Shift Keying G GI Guard Interval I ICI InterChannel Interference ICI InterCarrier Interference ISI InterSymbol Interference IDFT Inverse Discrete Fourier Transform IEEE Institute of Electrical and Electronic Engneers IFFT Inverse FFT L LAN Local AreaNetwork LMS Least Mean Square M MAC Media Access Control McBSP Multi-Channeled Buffered Serial Ports MMSE Minnimum Mean Square Error MS Mobile Station N NLOS NonLight Of Sight O OFDM Orthogonal Frequency Division Multiplexing P PDF Probability density function P/S Parallel to Serial PM Phase Modulation PSK Phase-Shift Keying Q QAM Quadrature Amplitude Modulation QPSK Quadrature Phase-Shift Keying R RTDX Real Time Data eXchange S SNR Signal to Noise Ratio W WLAN Wireless Local Area Network Wimax Worldwide Interoperability for Microwave Access 10 Chương Mơ hình hệ thống OFDM 1.1 Mơ hình hệ thống OFDM Kỹ thuật OFDM trường hợp đặc biệt phương pháp điều chế đa sóng mang, sóng mang phụ trực giao với nhau, nhờ phổ tính hiệu sóng mang phụ cho phép chồng lấn lên mà phía thu khơi phục lại tín hiệu ban đầu Sự chồng lấn phổ tín hiệu làm cho hệ thống OFDM có hiệu suất sử dụng phổ lớn nhiều so với kỹ thuật điều chế thông thường Hệ thống OFDM hệ thống phức tạp, yêu cầu cao phần cứng xử lý Tuy nhiên, với việc đưa hàm IFFT vào hệ thống để tạo sóng mang trực giao làm yêu cầu phần cứng giảm nhiều Sơ đồ khối tổng quát hệ thống thu phát OFDM sau: Bit In {ai,n} Điều chế băng tần sở {dk,n} Chèn Pilot Chèn chuỗi bảo vệ m(lta) m’(lta) IFFT {d’k,n} Biến đổi số/ tương tự m(t) Kênh vô tuyến Khôi phục kênh truyền Tách mẫu tín hiệu dẫn đường AWGN n(t) {Hi,n} Bit out {ai,n} Giải điều chế băng tần sở {dk,n} u’(lta) U(lta) u(t) {d’k,n} Cân kênh FFT Tách chỗi bảo vệ Đồng Biến đổi tương tự/ số Hình 1.1 Sơ đồ khối hệ thống OFDM Nguồn bit điều chế băng tần sở thông qua phương pháp điều chế PSK, M-QAM Tín hiệu dẫn đường chèn vào mẫu tín hiệu, sau điều chế thành tín hiệu OFDM thơng qua biến đổi IFFT chèn chuỗi bảo vệ Luồng tín hiệu số chuyển thành luồng tín hiệu tương tự qua chuyển đổi số-tương tự trước truyền 11 kênh vơ tuyến qua anten phát Tín hiệu truyền qua kênh vô tuyến bị ảnh hưởng nhiễu pha đinh nhiễu trắng Tín hiệu dẫn đường tín hiệu biết trước phía phát phía thu, phát với tính hiệu có ích với nhiều mục đích khác việc khôi phục kênh truyền đồng hệ thống Máy thu thực chức ngược lại thực máy phát Sau nhận dòng khung OFDM từ phía phát gửi tới, phía thu thực đồng để thu xác khung OFDM gửi Sau tới cơng đoạn loại bỏ chuỗi bảo vệ thực FFT kết thu Tuy nhiên, ảnh hưởng nhiễu nên kênh truyền lúc bị thay đổi tín hiệu nhận bị biến dạng Do để khôi phục tín hiệu phát hàm truyền kênh vô tuyến phải khôi phục Việc thực khôi phục hàm truyền kênh vô tuyến thực thông qua mẫu tin dẫn đường nhận phía thu Tín hiệu nhận sau giải điều chế OFDM chia thành hai luồng tín hiệu Luồng tín hiệu thứ luồng tín hiệu có ích đưa đến cân kênh Luồng tín hiệu thứ hai mẫu tin dẫn đường đưa vào khôi phục kênh truyền Kênh truyền sau khôi phục đưa vào cân kênh để khơi phục lại tín hiệu ban đầu Tín hiệu sau khơi phục dịng tín hiệu QAM Bởi vậy, tiếp tục giải điều chế QAM băng tần sở để thu dòng bit truyền ban đầu 1.2 Các tác vụ hệ thống phát OFDM Sau phân tích nghiên cứu chi tiết hệ thống thu phát OFDM, việc tiến hành thực xây dựng khối phát OFDM thơng qua việc hồn thiện bước sau đây: Hình 1.2 Sơ đồi khối hệ thống phát OFDM 61 Hình 4.1 Mối quan hệ SINR, BER SIR cho trường hợp 16-QAM 4.3 Kết phân tích tham số thực tế Trong hệ thống phát triển có số tham số quan trọng: chiều dài FFT IFFT, số mẫu OFDM ghép thành khung, ảnh hưởng trễ đến hệ thống OFDM Vì vậy, luận văn tiến hành thử nghiệm BER theo hướng sau: a) Ảnh hưởng hiều ài FF IFF l n hất lượng hệ thống: Việc tăng chiều dài FFT tức tăng số sóng mang hệ thống OFDM Điều đồng nghĩa với việc hiệu suất hệ thống tăng lên tỉ lệ thuận với việc tăng chiều dài FFT Tuy nhiên, chiều dài FFT tăng cách tùy ý việc tăng số sóng mang dẫn đến yêu cầu độ phức tạp phần cứng tăng, khoảng tần số giũa hai sóng mang giảm tỉ lệ thuận dẫn đến dễ trực giao sóng mang Điều thể rõ nét tỉ lệ lỗi bit 62 Hình 4.2 tỉ lệ lỗi bít hệ thống chiều dài FFT thay đổi Trong mô phỏng, hệ thống thiết lập với chiều dài IFFT 8, 16, 32, 64, 128 Các hệ thống đưa qua đường truyền có nhiễu với SNR = 1, ,35 Có thể thấy hình vẽ 4.2, chiều dài FFT nhỏ, ảnh hưởng nhiễu lên hệ thống ít, đặc trưng việc chiều dài FFT nhỏ, đường cong nằm phía bên đường cong với chiều dài FFT lớn Với ngưỡng nhiễu BER nhỏ chiều dài FFT nhỏ Phụ thuộc vào tỉ số BER mong muốn mà xác lập chiều dài FFT tối ưu b) Ảnh hưởng nhiễu I I l n hất lượnghệ thống Tuy ảnh hưởng nhiễu ISI lên hệ thống triệt bỏ hồn tồn chiều dài chuỗi bảo vệ đủ lớn, vấn đề đặt chiều dài chuỗi bảo vệ đủ lớn, chuỗi bảo vệ dài ảnh hưởng lớn đến hiệu suất đường truyền Hình vẽ sau thể hệ thống với chiều dài FFT = 64 bị ảnh hưởng nhiễu ISI 63 Hình 4.3 Tỉ lệ lỗi bít ảnh hưởng nhiễu ISI thay đổi Như hình vẽ 4.3, ảnh hưởng nhiễu ISI tăng làm cho tỉ lệ BER tăng nhanh, dẫn đến chất lượng hệ thống giảm mạnh Vì vậy, việc quan trọng phải xác định trễ kênh truyền tối đa kênh truyền để xác định mức ảnh hưởng lên hệ thống chọn chiều dài chuỗi bảo vệ cho hợp lý, cân xác xuất bị ảnh hưởng nhiễu ISI hiệu suất sử dụng đường truyền hệ thống c)Ảnh hưởng số mẫu kí t OFDM t ong khung l n hất lượng hệ thống Một tham số quan trọng ảnh hưởng đến hiệu suất sử dụng đường truyền số mẫu kí tự OFDM khung Số kí tự tăng, hiệu suất sử dụng đường truyền tăng Cần tìm số kí tự tối đa mà đảm bảo chất lượng hệ thống 64 Hình 4.4 Tỉ lệ lỗi bít số mẫu OFDM khung thay đổi Như hình 4.4, chất lượng hệ thống bị ảnh hưởng số kí tự khung Lý đơn giản hệ thống mơ hệ thống thực có hàm truyền khơng thay đổi theo thời gian, kết trả hàm ước lượng kênh truyền không bị ảnh hưởng số kí tự tăng Điều thay đổi kênh truyền biên đổi theo thời gian 4.4 Kết truyền với liệu thực tế 4.4.1 Truyền nhận với mơi trường hữu tuyến Hình 4.5 Ảnh truyền gốc 65 Hình 4.6 Ảnh nhận Hình 4.7 So sánh dạng tín hiệu mơ thực tế Đ nh gi kết quả: Quan sát trình truyền nhận liệu môi trường hữu tuyến thực với liệu ảnh thấy kết nhận hồn chỉnh khơng xuất lỗi, điều thể thuật toán truyền nhận thuật tốn đồng đảm bảo xác môi trường hữu tuyến 66 4.4.2 Truyền nhận với mơi trường vơ tuyến Hình 4.8 Kết truyền nhận liệu text Hình 4.9 Kết - dạng tín hiệu đo với dạng liệu audio 67 Đ nh gi kết quả: Quan sát trình truyền nhận liệu môi trường vô tuyến thực với liệu ảnh audio thấy rằng: Khả nhận liệu chưa đáp ứng với tốc độ tính tốn lý thuyết, xuất lỗi nhỏ trình nhận liệu Tuy nhiên, lỗi thường không thay đổi thực thử nghiệm nhiều lần, điều chứng tỏ thuật toán truyền tương đối tốt lỗi phát sinh chủ yếu thuật tốn đồng chưa hồn thiện với môi trường vô tuyến 4.5 Kết luận chương  Thử nghiệm thuật tốn với mơi trường hữu tuyến cho kết truyền nhận tốt với liệu text, hình ảnh audio dung lượng nhỏ  Với việc thử nghiệm q trình thu phát mơi trường vơ tuyến thấy rằng: Dữ liệu nhận đầy đủ hoàn chỉnh, nhiên khả truyền nhận chưa đáp ứng tốc độ tính tốn lý thuyết, xuất lỗi nhỏ liệu nhận ( cụ thể: lỗi ký tự với dạng liệu text tín hiệu âm nhận dè ngắn với liệu audio), nguyên nhân tượng chủ yếu do: thứ thuật tốn truyền chưa hồn thiện để tiến hành việc trao đổi liệu với môi trường vô tuyến, thứ hai chưa ước lượng xác kênh truyền 68 Chương Kết luận chung Luận văn tập trung vào việc xây dựng hệ thống phát sử dụng kỹ thuật điều chế OFDM, việc không sâu vào lý thuyết kỹ thuật OFDM mà tập trung vào mơ hình truyền dẫn kênh truyền , phân tích tỷ lệ lỗi bit tốc độ truyền dẫn để chuẩn bị tốt cho việc đưa tín hiệu OFDM lên cao tần Đồng thời, luận văn đề xuất phương pháp đồng để tăng hiệu suất việc truyền liệu Trên sở đó, luận văn đạt kết sau :  Xây dựng thành công hệ thống phát OFDM bo mạch TMS320C6416  Truyền thành công liệu văn âm môi trường vô tuyến với giao diện xây dựng thiết kế ngôn ngữ Microsoft Visual Basic  Đo tín hiệu miền thời gian Matlab, DSP,và bo mạch  Đo phổ tần số OFDM  Đưa kỹ thuật ghép nối nhớ để tối ưu nhớ DSP Mục tiêu đề tài tạo sản phẩm để phục vụ cho việc giảng dạy nghiên cứu cách hình tượng, trực quan chất kỹ thuật điều chế OFDM Cho đến thời điểm luận văn làm hầu hết chức ban đầu đề Để tạo sản phẩm hoàn chỉnh tương lai luận văn tiếp tục phải hồn thiện số tính sau:  Hồn thiện việc phát triển thuật tốn trao đổi liệu kênh vô tuyến  Đối với phần đồng mặt tần số, phải thêm khối PsLL để đảm bảo tần số lấy mẫu hai bo mạch ổn định  Phát triển hệ thống chip có tốc độ xử lý cao TMS320C6713 có tích hợp xử lý dấu chấm động tăng hiệu tính tốn đáng kể  Đưa phương pháp ước lượng để ước lượng tín hiệu OFDM kênh vơ tuyến xác tối ưu 69 TÀI LIỆU THAM KHẢO [1] Ước lượng cân thích nghi cho kênh truyền hệ thống OFDM [2] Giải thuật lập trình– Tác giả: Lê Minh Hoàng –Đại học sư phạm Hà Nội, 19992002 [3] Lý thuyết ứng dụng Kỹ thuật OFDM – Tác giả: Nguyễn Văn Đức – NXB: Khoa học kỹ thuật [4] Lý thuyết kênh vô tuyến – Tác giả: Nguyễn Văn Đức – NXB: Khoa học kỹ thuật [5] Real-time Digital Signal Processing, Implementations, Applications, and Experiments with the TMS320C55x – Tác giả: Sen M Kuo Bob H Ley – NXB: JOHN WILEY & SONS [6] Real-time Digital Signal Processing Based on TMS320C6000 – Tác giả: Nasser Kehtarnavaz – NXB: Elsevier [7]Jan-Jaap van de Beek, Magnus Sandell and Per Ola Borjession, On Synchronization in OFDm Systems Using the Clyclic Prefix, June 1996 [8] Jia Liu, Erik Bergenudd, Vinod Patmanathan, Romain Masson, 2E1367- “Project Course in Signal Processing and Digital Communiaction” KTH, Stockholm, 30 th May 2005 [9] Rulph Chassaing, Digital Signal Processing and Applications with the C6713 and C6416 DSK 2005 Ajon Wiley & Sons, INC., Publication [10] Van Duc Nguyen, Van Luong Pham, Van Xiem Hoang , Huy Dung Han, and Huu Thanh Nguyen, “Implementation of an OFDM system based on the TMS320C6416 DSP” [11]N.Sagias, A.Papathanassiou, P.T.Mathiopoulos, G.Tombras, “Burst Timing Synchronization for OFDM – Based LEO and MEO Wideband Mobile Satellite Systems”, National Observatory of Athens (NOA), Athens, Greece [12] HaiyunTang, KamY.Lau and RobertW.Brodersen , “Synchronization Schemes for Packet OFDM System”, Berkeley Wireless Research Center [13] JEREMY LAINÉ, Interference Estimation in a Multicellular OFDMA Environment, Radio Communication Systems Lab, Dept of Signals, Sensors and Systems Royal Institute of Technology S100 44 STOCKHOLM SWEDEN 70 [14] R.W Chang, "Orthogonal Frequency Division Multiplexing", U S Patent 4388 455, filed in Nov 1966, issued in Jan 1970 [15] S.B Weistein, P.M Ebert, "Data Transmission by Frequency-Division Multiplexing Using the Discrete Fourier Transform", IEEE trans Communications, vol 10, pp 628634, Oct 1971 71 PHỤ LỤC A Thiết kế mạch phát FM cho hệ thống Khối ph t tín hiệu t n ăng t n FM đượ thiết kế v i mụ đí h ó tính ng ụng cao nên n ưu ti n số đặ điểm sau: - Tín hiệu phát có chất lượng tốt - Nhỏ gọn - Nguồn cung cấp phải đơn giản tối ưu - Nguồn cung cấp 3V (2 viên pin AA 1.5V) - Tần số phát nằm dải FM từ 88 – 108 Mhz Ở chọn tần số phát dao động khoảng 97,5  0.2 MHz đồ khối: Antena NGUỒN KHUẾCH ĐẠI ĐIỀU TẠO ÂM ĐẦU VÀO CHẾ SĨNG Hình 2.30 Sơ đồ khối phát FM Tín hiệu từ nguồn âm đưa vào khối khuếch đại đầu vào Khối có nhiệm vụ khuếch đại tín hiệu âm từ nguồn âm sau đưa sang khối điều chế Sau khỏi khối khuếch đại đầu vào, tín hiệu đưa vào khối điều chế Tại đây, tần số sóng mang tin biến đổi tác động tín hiệu, cịn biên độ góc pha tải tin không đổi Đầu khối điều chế ta tín hiệu RF Khối tạo sóng thực chất mạch cộng hưởng LC Khi tín hiệu vào thay đổi, tần số riêng mạch cộng hưởng thay đổi dải tần theo biến đổi tín hiệu Giá trị trung tâm dải tần số phát mạch đồ ngu n lý khối ph t: 72 Hình 2.31 Sơ đồ nguyên lý khối phát FM C tham số n: Ký hiệu STT Trị số R1 22 KΩ R2 MΩ R3 10 KΩ R4 47 KΩ R5 470 Ω C1 22 nF C2 100 nF C3 nF C4 5,6 pF 10 C5 27 pF 11 C6 22 nF 73 470 uF – 16V 12 C7 13 Transistor C1815 14 Cuộn cảm L 130 nH 15 Biến dung C – 45 pF ính to n ho t ng khuế h đ i: Ở điện trở 1MΩ vừa làm nhiệm vụ đưa thiên áp vào bazơ, vừa dẫn điện áp hồi tiếp mạch vào Nguyên tắc ổn định sau : Nếu có nguyên nhân ổn định làm cho dịng chiều IC0 collector tăng điện U BE giảm, dòng định thiên U CE giảm theo, làm cho I C giảm xuống, nghĩa dòng tĩnh ban đầu IC R2 bazơ I B  giữ nguyên UCC  R3.IC  R2 I1  U BE (2.1) Giả thiết I C  I1 Từ suy d  IC  Pt Pr (2.2) Để cho dòng qua R2 nhỏ, chọn R2 >> Rc theo kinh nghiệm cho hạ áp chiều tối thiểu 0,22U CC Do Chọn transistor C1815 có độ khuếch đại làm việc β = 300 Điện áp chiều Ucc = 3V ( lấy từ pin ) Chọn R2 = 1MΩ, R3 = 10 KΩ ính to n ho khối t o sóng: Tín hiệu sau qua transistor Q2 biến thiên xoay quanh giá trị cố định, làm thay đổi điện áp tụ xoay làm cho tần số dao động mạch LC có biến đổi tần số theo tín hiệu từ chân C Q2 Trong trường hợp đèn Q2, tụ C3, điện trở R4 tạo thành mạch phân áp CR Ta có Ctd  RCS Trong S hộ dẫn transistor 74 Khi điện áp đặt vào bazơ phần tử điện kháng thay đổi S thay đổi, tham số Ctd thay đổi làm cho tần số dao động thay đổi theo Điều tần dùng phần tử điện kháng đạt lượng di tần tương đối f  2% ft Ta lựa chọn giá trị linh kiện cho phần tử điện kháng sau R4  C3 nF R5  Chọn tụ C4 tụ hồi tiếp C-E có trị số điện dung 5,6 pF Khung dao động tạo tần số dao động riêng tần số sóng mang f  2 LC Cuộn cảm L quấn theo thơng số: bán kính lõi r = 2.5mm, số vịng quấn vịng, dây đồng có đường kính 0,61mm Tính giá trị điện cảm theo cơng thức N  L(9r 10I ) r2 Ta giá trị điện cảm L = 0,13uH = 130nH Chỉnh tụ xoay tới giá trị 22pF Có thể thay thụ xoay tụ 22pF để cố định tần số phát Tần số phát f 1   97,3MHz 2 LC 2 130.109.22.1012 ính to n ơng suất ph t: Xét transistor C1815 có thơng số sau VCB max  60V VCE 0max  50V VEB 0max  5V I C max  150mA PC max  400mW Công suất mạch transistor tính theo cơng thức P  VCE IC Với VCC  U ng  3V , suy VCE  VC  VE   VE Trước hết ta xác định dòng điện tĩnh bazơ với Q2 ( C1815 ) 75 E  I B R4  U BE  I E R5  I B0 R4  U BE  (1  )I B0 R5 Lại có U BE  0,7V Suy I B0  E  U BE  0,7   24,35.106 ( A)  24,35  A) R4  (1   ) R5 47.10  (1  100).470 I E  (1   ) I B  (1  100).24,35  2459  A) Nên Từ ta có VE  I E R  2459.106.470  1,16V ) VCE   VE  1,16  1,84V ) Công suất phát mô - đun là: Pt  I E VCE  I E VCE  2459.106.1,84  4524.106  W)  4,524 mW) ính to n l ph t: C l ph t kho ng h l n tính từ khối ph t mà t i tín hiệu thu đượ ó hất lượng ph t C l ph t đượ tính ằng ăn ậ hai tỷ số ông suất t u ền Pt v i ông suất thu Pr t i m thu FM d Pt Pr (2.3) Đặc trưng sóng radio truyền nhận dải, nguồn cung cấp cho khối phát ta nguồn cầm tay nhỏ có cơng suất từ 0,01 đến 0,1 (mW) Theo tính tốn phần cơng suất C1815 tính 4,524( mW) Như khoảng cách truyền lớn là: dmax  4,524  21,3(m) 0,01 Nếu coi anten phát lý tưởng khoảng cách phát FM là: d  21,3(m) ... ĐẠI HỌC CÔNG NGHỆ -*** TRẦN VĂN TUYÊN XÂY DỰNG HỆ THỐNG MÁY PHÁT DỮ LIỆU VÔ TUYẾN SỬ DỤNG CÔNG NGHỆ OFDM CHO PHÁT THANH SỐ VÀ TRUYỀN DỮ LIỆU Ngành: Công nghệ Điện tử - Viễn thông Chuyên... cứng cho hệ thống OFDM, lựa chọn thơng số OFDM, quy trình để xây dựng hệ thống phát liệu OFDM truyền thông thực Việc xây dựng khối phát vô tuyến gặp nhiều khó khăn phải hiệu chỉnh thơng số để... với Hệ thống vào bao gồm kỹ thuật vào thực tiến trình Hệ thống bao gồm vào với mã hóa giải mã AIC23 sử dụng kỹ thuật EDMA 23 vào với máy tính sử dụng kỹ thuật RTDX kênh HST Có nhiều mơ hình vào

Ngày đăng: 05/12/2020, 11:46

Từ khóa liên quan

Mục lục

  • MỤC LỤC

  • DANH SÁCH CÁC TỪ VIẾT TẮT

  • Chương 1: Mô hình hệ thống OFDM

  • 1.1 Mô hình hệ thống OFDM

  • 1.2 Các tác vụ cơ bản của hệ thống phát OFDM

  • 1.2.1 Điều chế OFDM ở phía phát

  • 1.2.2 Điều chế ở băng tần cơ sở

  • 1.2.3 Biến đổi Fourier

  • 1.2.4 Khoảng bảo vệ

  • 1.3 Kết luận chương

  • 2.1 Mô hình phát triển phần cứng hệ thống OFDM

  • 2.2 Kiến trúc, đặc điểm các bo mạch nhúng TMS320C6416 DSP KIT

  • 2.3 Lựa chọn các tham số OFDM tại máy phát

  • 2.3.1 Chiều dài IFFT

  • 2.3.2 Chiều dài chuỗi bảo vệ

  • 2.3.3 Nulllow và Nullhigh

  • 2.3.4 Cấu trúc khung và khung truyền

  • 2.4 Xây dựng khối phát OFDM

  • 2.4.1 Đặc tả các hàm chức năng của hệ thống

  • 2.5 Truyền thông thời gian thực với RTDX

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan