Tasks And Functions part 2

7 359 0
Tasks And Functions part 2

Đang tải... (xem toàn văn)

Thông tin tài liệu

[ Team LiB ] 8.3 Functions Functions are declared with the keywords function and endfunction. Functions are used if all of the following conditions are true for the procedure: • There are no delay, timing, or event control constructs in the procedure. • The procedure returns a single value. • There is at least one input argument. • There are no output or inout arguments. • There are no nonblocking assignments. 8.3.1 Function Declaration and Invocation The syntax for functions is follows: Example 8-6 Syntax for Functions function_declaration ::= function [ automatic ] [ signed ] [ range_or_type ] function_identifier ; function_item_declaration { function_item_declaration } function_statement endfunction | function [ automatic ] [ signed ] [ range_or_type ] function_identifier (function_port_list ) ; block_item_declaration { block_item_declaration } function_statement endfunction function_item_declaration ::= block_item_declaration | tf_input_declaration ; function_port_list ::= { attribute_instance } tf_input_declaration {, { attribute_instance } tf_input_declaration } range_or_type ::= range | integer | real | realtime | time There are some peculiarities of functions. When a function is declared, a register with name function_identifer is declared implicitly inside Verilog. The output of a function is passed back by setting the value of the register function_identifer appropriately. The function is invoked by specifying function name and input arguments. At the end of function execution, the return value is placed where the function was invoked. The optional range_or_type specifies the width of the internal register. If no range or type is specified, the default bit width is 1. Functions are very similar to FUNCTION in FORTRAN. Notice that at least one input argument must be defined for a function. There are no output arguments for functions because the implicit register function_identifer contains the output value. Also, functions cannot invoke other tasks. They can invoke only other functions. 8.3.2 Function Examples We will discuss two examples. The first example models a parity calculator that returns a 1-bit value. The second example models a 32-bit left/right shift register that returns a 32- bit shifted value. Parity calculation Let us discuss a function that calculates the parity of a 32-bit address and returns the value. We assume even parity. Example 8-7 shows the definition and invocation of the function calc_parity. Example 8-7 Parity Calculation //Define a module that contains the function calc_parity module parity; . reg [31:0] addr; reg parity; //Compute new parity whenever address value changes always @(addr) begin parity = calc_parity(addr); //First invocation of calc_parity $display("Parity calculated = %b", calc_parity(addr) ); //Second invocation of calc_parity end . . //define the parity calculation function function calc_parity; input [31:0] address; begin //set the output value appropriately. Use the implicit //internal register calc_parity. calc_parity = ^address; //Return the xor of all address bits. end endfunction . . endmodule Note that in the first invocation of calc_parity, the returned value was used to set the reg parity. In the second invocation, the value returned was directly used inside the $display task. Thus, the returned value is placed wherever the function was invoked. Another method of declaring arguments for functions is the ANSI C style. Example 8-8 shows the calc_parity function defined with an ANSI C style argument declaration. Example 8-8 Function Definition using ANSI C Style Argument Declaration //define the parity calculation function using ANSI C Style arguments function calc_parity (input [31:0] address); begin //set the output value appropriately. Use the implicit //internal register calc_parity. calc_parity = ^address; //Return the xor of all address bits. end endfunction Left/right shifter To illustrate how a range for the output value of a function can be specified, let us consider a function that shifts a 32-bit value to the left or right by one bit, based on a control signal. Example 8-9 shows the implementation of the left/right shifter. Example 8-9 Left/Right Shifter //Define a module that contains the function shift module shifter; . //Left/right shifter `define LEFT_SHIFT 1'b0 `define RIGHT_SHIFT 1'b1 reg [31:0] addr, left_addr, right_addr; reg control; //Compute the right- and left-shifted values whenever //a new address value appears always @(addr) begin //call the function defined below to do left and right shift. left_addr = shift(addr, `LEFT_SHIFT); right_addr = shift(addr, `RIGHT_SHIFT); end . . //define shift function. The output is a 32-bit value. function [31:0] shift; input [31:0] address; input control; begin //set the output value appropriately based on a control signal. shift = (control == `LEFT_SHIFT) ?(address << 1) : (address >> 1); end endfunction . . endmodule 8.3.3 Automatic (Recursive) Functions Functions are normally used non-recursively . If a function is called concurrently from two locations, the results are non-deterministic because both calls operate on the same variable space. However, the keyword automatic can be used to declare a recursive (automatic) function where all function declarations are allocated dynamically for each recursive calls. Each call to an automatic function operates in an independent variable space.Automatic function items cannot be accessed by hierarchical references. Automatic functions can be invoked through the use of their hierarchical name. Example 8-10 shows how an automatic function is defined to compute a factorial. Example 8-10 Recursive (Automatic) Functions //Define a factorial with a recursive function module top; . // Define the function function automatic integer factorial; input [31:0] oper; integer i; begin if (operand >= 2) factorial = factorial (oper -1) * oper; //recursive call else factorial = 1 ; end endfunction // Call the function integer result; initial begin result = factorial(4); // Call the factorial of 7 $display("Factorial of 4 is %0d", result); //Displays 24 end . . endmodule 8.3.4 Constant Functions A constant function [1] is a regular Verilog HDL function, but with certain restrictions. These functions can be used to reference complex values and can be used instead of constants. [1] See IEEE Standard Verilog Hardware Description Language document for details on constant function restrictions. Example 8-11 shows how a constant function can be used to compute the width of the address bus in a module. Example 8-11 Constant Functions //Define a RAM model module ram ( .); parameter RAM_DEPTH = 256; input [clogb2(RAM_DEPTH)-1:0] addr_bus; //width of bus computed //by calling constant //function defined below //Result of clogb2 = 8 //input [7:0] addr_bus; -- -- //Constant function function integer clogb2(input integer depth); begin for(clogb2=0; depth >0; clogb2=clogb2+1) depth = depth >> 1; end endfunction -- -- endmodule 8.3.5 Signed Functions Signed functions allow signed operations to be performed on the function return values. Example 8-12 shows an example of a signed function. Example 8-12 Signed Functions module top; -- //Signed function declaration //Returns a 64 bit signed value function signed [63:0] compute_signed(input [63:0] vector); -- -- endfunction -- //Call to the signed function from the higher module if(compute_signed(vector) < -3) begin -- end -- endmodule [ Team LiB ] [ Team LiB ] 8.4 Summary In this chapter, we discussed tasks and functions used in behavior Verilog modeling. • Tasks and functions are used to define common Verilog functionality that is used at many places in the design. Tasks and functions help to make a module definition more readable by breaking it up into manageable subunits. Tasks and functions serve the same purpose in Verilog as subroutines do in C. • Tasks can take any number of input, inout, or output arguments. Delay, event, or timing control constructs are permitted in tasks. Tasks can enable other tasks or functions. • Re-entrant tasks defined with the keyword automatic allow each task call to operate in an independent space. Therefore, re-entrant tasks work correctly even with concurrent tasks calls. • Functions are used when exactly one return value is required and at least one input argument is specified. Delay, event, or timing control constructs are not permitted in functions. Functions can invoke other functions but cannot invoke other tasks. • A register with name as the function name is declared implicitly when a function is declared. The return value of the function is passed back in this register. • Recursive functions defined with the keyword automatic allow each function call to operate in an independent space. Therefore, recursive or concurrent calls to such functions will work correctly. • Tasks and functions are included in a design hierarchy and can be addressed by hierarchical name referencing. [ Team LiB ] . 8.4 Summary In this chapter, we discussed tasks and functions used in behavior Verilog modeling. • Tasks and functions are used to define common Verilog. in the design. Tasks and functions help to make a module definition more readable by breaking it up into manageable subunits. Tasks and functions serve

Ngày đăng: 24/10/2013, 15:15

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan