1. Trang chủ
  2. » Giáo án - Bài giảng

DTCN 301 TKVMSVOIHDL DAITRA 2015 2016 HK i solution

12 17 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Thông tin cơ bản

Định dạng
Số trang 12
Dung lượng 448,4 KB

Nội dung

ĐẠI HỌC SƯ PHẠM KỸ THUẬT THÀNH PHỐ HỒ CHÍ MINH KHOA ĐIỆN – ĐIỆN TỬ BỘ MÔN: ĐTCN - Câu 1: ĐÁP ÁN ĐỀ THI CUỐI KỲ HỌC KỲ NĂM HỌC 15-16 Môn: thiết kế vi mạch với HDL Mã môn học: DSIC330563 Đề số/Mã đề: 01 Đề thi có trang Thời gian: 90 phút Không phép sử dụng tài liệu Sinh viên chọn làm câu sau đây: (3đ) a Hãy mô tả mạch chuyển đổi từ số nhị phân 16 bits thành mã Gray 16 bits ngược lại sử dụng VHDL Mạch có ngõ vào A 16 bits, ngõ Q 16 bits, ngõ điều khiển M bit Khi M = ‘0’ mạch chuyển từ mã nhị phần sang mã Gray Khi M = ‘1’ mạch chuyển từ mã Gray sang mã nhị phân (1.5đ) library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Question_1_a_BinaryToGray is Port ( I : in STD_LOGIC_VECTOR (15 downto 0); Q : out STD_LOGIC_VECTOR (15 downto 0); M : in STD_LOGIC); end Question_1_a_BinaryToGray; architecture Behavioral of Question_1_a_BinaryToGray is SIGNAL GRAY_OUT, BINARY_OUT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS=>'0'); begin GRAY_OUT

Ngày đăng: 17/03/2020, 15:04

TỪ KHÓA LIÊN QUAN

w