1. Trang chủ
  2. » Cao đẳng - Đại học

Điện tử viễn thông bài tập VHDL khotailieu

54 43 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

BÀI TẬP THIẾT KẾ CÁC KHỐI MẠCH DÃY VÀ TỔ HỢP THƠNG DỤNG NHĨM 2: 1.Lê Thế Ưng.(các khối lại tổng hợp) 2.Bùi Anh Tuấn.(mạch cộng tích lũy) 3.Hoàng Đức Anh.(Fsm) 4.Hoàng Ti Vi.(mạch cộng đơn giản) 5.Nguyễn Văn Tiến Lâm.(khối trừ) I.CÁC KHỐI CƠ BẢN 1.Khối cộng đơn giản Khối cộng đơn giản: thực phép cộng hai số đƣợc biểu diễn dạng std_logic_vector hay bit_vector Các cổng vào gồm hạng tử A, B, bit nhớ Cin, cổng bao gồm tổng Sum, bit nhớ Cout: Code cộng là: - Bo cong don gian -library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity adder32 is port( Cin : in std_logic; A : in std_logic_vector(31 downto 0); B : in std_logic_vector(31 downto 0); SUM : out std_logic_vector(31 downto 0); Cout: out std_logic ); end adder32; architecture behavioral of adder32 is signal A_temp : std_logic_vector(32 downto 0); signal B_temp : std_logic_vector(32 downto 0); signal Sum_temp : std_logic_vector(32 downto 0); begin A_temp if Rx = '0' then receiver_state if cnt8 = "111" then if Rx = '0' then receiver_state

Ngày đăng: 12/11/2019, 19:58

Xem thêm:

TỪ KHÓA LIÊN QUAN

w