Logic and computer design fundamentals 5th edition by mano kime martin solution manual

15 221 0
Logic and computer design fundamentals 5th edition by mano kime martin solution manual

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Problem Solutions – Chapter CHAPTER © 2016 Pearson Education, Inc 2-1.* a) XYZ  X  Y  Z Verification of DeMorgan’s Theorem b) X Y Z XYZ XYZ X Y  Z 0 0 1 0 1 1 0 1 1 1 0 1 1 1 1 0 1 1 1 0 X  YZ  ( X  Y )  ( X  Z ) The Second Distributive Law Y Z 0 0 0 0 0 0 0 0 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 c) YZ X + YZ X+Y X+Z (X + Y)(X + Z) T a his th nd wo o eir is rk w r sa co pro is ill le u vi pr de o rse de ot st f a s d s ec ro n an o te y y p d le d th a a ly by e rt ss fo U in o e r te f t ss th nite gr hi in e ity s w g us d S of or stu e o tat th k ( de f i es e in nt ns co w cl le tr p or ud a uc y r k an ing rnin tors igh d on g in t la is w D no the iss tea s t p W em ch er or in ing m ld a itt W tio ed id n e W eb ) X XY  YZ  XZ  XY  YZ  XZ XY YZ XZ XY  YZ  XZ XY YZ XZ XY  YZ  XZ 0 0 0 0 1 0 1 0 1 1 0 0 1 0 0 1 0 1 1 0 1 0 1 1 1 0 0 0 0 X Y Z 0 0 1 0 1 2-2.* a) X Y  XY  XY = X Y  ( X Y  X Y )  ( XY  XY )  X (Y  Y )  Y ( X  X )  X Y © 2016 Pearson Education, Inc., Hoboken, NJ All rights reserved This material is protected under all copyright laws as they currently exist No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher Problem Solutions – Chapter b) AB  BC  AB  BC = = X Y  Z = X Y  XZ  YZ  ( AB  AB)  ( BC  BC )  B( A  A)  B (C  C ) B  B 1 c) Y  XZ  XY  Y  XY  XZ  (Y  X )(Y  Y )  XZ  Y  X  XZ  Y  ( X  X )( X  Z )  X Y  Z d) XY  Y Z  XZ  XY  YZ  XY  YZ ( X  X )  XZ  XY  YZ  XY  XYZ  XYZ  XZ  XY  YZ  XY (1  Z )  XYZ  XZ  XY  YZ  XY  XZ (1  Y )  XY  YZ  XY  XZ  XY ( Z  Z )  YZ  XY  XZ  XYZ  YZ (1  X ) T a his th nd wo o eir is rk w r sa co pro is ill le u vi pr de o rse de ot st f a s d s ec ro n an o te y y p d le d th a a ly by e rt ss fo U in o e r te f t ss th nite gr hi in e ity s w g us d S of or stu e o tat th k ( de f i es e in nt ns co w cl le tr p or ud a uc y r k an ing rnin tors igh d on g in t la is w D no the iss tea s t p W em ch er or in ing m ld a itt W tio ed id n e W eb )  XY  XZ (1  Y )  YZ  XY  XZ  YZ 2-3.+ a) ABC  BCD  BC  CD = B  CD  ABC  ABC  BC  BCD  BCD  CD  AB(C  C )  BC ( D  D)  BC  CD  AB  BC  BC  CD  B  AB  CD  B  CD b) WY  WYZ  WXZ  WXY = WY  WXZ  XYZ  XYZ  (WY  WXYZ )  (WXYZ  WXYZ )  (WXYZ  WXYZ )  (WXYZ  WXY Z )  (WY  WXYZ )  (WXYZ  WXY Z )  (WXYZ  WXYZ )  (WXYZ  WXYZ )  WY  WXZ (Y  Y )  XYZ (W  W )  XYZ (W  W )  WY  WXZ  XYZ  XYZ c) AD  AB  CD  BC = ( A  B  C  D)( A  B  C  D)  AD  AB  CD  BC  ( A  D)( A  B )(C  D)( B  C )  ( AB  AD  BD)( BC  BD  CD)  ABCD  ABCD  ( A  B  C  D)( A  B  C  D )  ( A  B  C  D )( A  B  C  D ) © 2016 Pearson Education, Inc., Hoboken, NJ All rights reserved This material is protected under all copyright laws as they currently exist No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher Problem Solutions – Chapter 2-4.+ Given: A  B  0, A  B  Prove: ( A  C)( A  B)( B  C) = BC  ( AB  AC  BC )( B  C )  AB  AC  BC   C ( A  B)  C ( A  B )(0)  C ( A  B )( A  B )  C ( AB  AB  B  BC 2-5.+ Define all elements of the algebra as four bit vectors such as A, B and C: A = (A3, A2, A1, A0) B = (B3, B2, B1, B0) C = (C3, C2, C1, C0) Step 2: 2-6 T a his th nd wo o eir is rk w r sa co pro is ill le u vi pr de o rse de ot st f a s d s ec ro n an o te y y p d le d th a a ly by e rt ss fo U in o e r te f t ss th nite gr hi in e ity s w g us d S of or stu e o tat th k ( de f i es e in nt ns co w cl le tr p or ud a uc y r k an ing rnin tors igh d on g in t la is w D no the iss tea s t p W em ch er or in ing m ld a itt W tio ed id n e W eb ) Step 1: Define OR1, AND1 and NOT1 so that they conform to the definitions of AND, OR and NOT presented in Table 2-1 a) A + B = C is defined such that for all i, i = 0, ,3, Ci equals the OR1 of Ai and Bi b) A B = C is defined such that for all i, i = 0, ,3, Ci equals the AND1 of Ai and Bi c) The element is defined such that for A = “0”, for all i, i = 0, ,3, Ai equals logical d) The element is defined such that for A = “1”, for all i, i = 0, ,3, Ai equals logical e) For any element A, A is defined such that for all i, i = 0, ,3, Ai equals the NOT1 of Ai a) AC  ABC  BC  AC  ABC  ( ABC  BC)  AC  ( ABC  ABC  BC  ( AC  AC )  BC  A  BC b) ( A  B  C )( ABC )  AABC  ABBC  ABCC  ( AA) BC  A( BB )C  AB (CC )  ABC  ABC  ABC  ABC c) ABC  AC  A( BC  C )  A( B  C ) d) ABD  ACD  BD  ( AB  B  AC ) D  ( A  AC  B) D  ( A  B) D e) ( A  B)( A  C )( ABC )  AAABC  ACABC  BAABC  BCABC  ABC © 2016 Pearson Education, Inc., Hoboken, NJ All rights reserved This material is protected under all copyright laws as they currently exist No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher Problem Solutions – Chapter 2-7.* XY  XYZ  XY  X  XYZ  ( X  XY )( X  Z )  ( X  X )( X  Y )( X  Z ) a)  ( X  Y )( X  Z )  X  YZ X  Y ( Z  X  Z )  X  Y ( Z  XZ )  X  Y (Z  X )(Z  Z )  X  YZ  XY b)  ( X  X )( X  Y )  YZ  X  Y  YZ  X  Y c) WX ( Z  YZ )  X (W  WYZ )  WXZ  WXYZ  WX  WXYZ  WXZ  WXZ  WX  WX  WX  X d) ( AB  AB)(CD  CD)  AC  ABCD  ABCD  ABCD  ABCD  A  C  ABCD  A  C  A  C  A( BCD)  A  C  C ( BD)  A  C  BD 2-8 F  ABC  AC  AB a) F  ABC  AC  AB b)  ( A  B  C )  ( A  C )  ( A  B)  ( ABC )( AC )( AB) 2-9.* 2-10.* T a his th nd wo o eir is rk w r sa co pro is ill le u vi pr de o rse de ot st f a s d s ec ro n an o te y y p d le d th a a ly by e rt ss fo U in o e r te f t ss th nite gr hi in e ity s w g us d S of or stu e o tat th k ( de f i es e in nt ns co w cl le tr p or ud a uc y r k an ing rnin tors igh d on g in t la is w D no the iss tea s t p W em ch er or in ing m ld a itt W tio ed id n e W eb ) c) Same as part b a) F  ( A  B)( A  B) b) F  ((V  W ) X  Y )Z c) F  [W  X  (Y  Z )(Y  Z )][W  X  YZ  YZ ] d) F  ABC  ( A  B)C  A( B  C ) Truth Tables a, b, c X Y Z a A B C b W X Y Z c 0 0 0 0 0 0 0 1 0 0 0 0 0 1 1 1 1 0 1 0 0 0 0 1 1 0 1 1 1 0 1 1 1 1 1 1 1 0 0 0 1 1 1 1 0 1 1 1 1 1 1 1 © 2016 Pearson Education, Inc., Hoboken, NJ All rights reserved This material is protected under all copyright laws as they currently exist No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher Problem Solutions – Chapter a) Sum of Minterms: XYZ  XYZ  XYZ  XYZ Product of Maxterms: ( X  Y  Z )( X  Y  Z )( X  Y  Z )( X  Y  Z ) b) Sum of Minterms: ABC  ABC  ABC  ABC Product of Maxterms: ( A  B  C )( A  B  C )( A  B  C )( A  B  C ) c) Sum of Minterms: WXYZ  WXYZ  WXYZ  WXY Z  WXYZ  WXYZ  WXYZ Product of Maxterms: (W  X  Y  Z )(W  X  Y  Z )(W  X  Y  Z ) (W  X  Y  Z )(W  X  Y  Z )(W  X  Y  Z ) (W  X  Y  Z )(W  X  Y  Z )(W  X  Y  Z ) 2-11 E  m(1, 2, 4, 6)  M (0, 3, 5, 7), F  m(0, 2, 4, 7)  M (1, 3, 5, 6) b) E  m(0, 3, 5, 7), F  m(1, 3, 5, 6) c) E  F  m(0, 1, 2, 4, 6, 7), E  F  m(2, 4) d) E  XYZ  XYZ  XY Z  XYZ , F  XY Z  XYZ  XY Z  XYZ e) E  Z ( X  Y )  XYZ , F  Z ( X  Y )  XYZ T a his th nd wo o eir is rk w r sa co pro is ill le u vi pr de o rse de ot st f a s d s ec ro n an o te y y p d le d th a a ly by e rt ss fo U in o e r te f t ss th nite gr hi in e ity s w g us d S of or stu e o tat th k ( de f i es e in nt ns co w cl le tr p or ud a uc y r k an ing rnin tors igh d on g in t la is w D no the iss tea s t p W em ch er or in ing m ld a itt W tio ed id n e W eb ) 2-12.* a) a) ( AB  C )( B  CD)  AB  ABCD  BC  AB  BC s.o.p  B( A  C ) p.o.s b) X  X ( X  Y )(Y  Z )  ( X  X )( X  ( X  Y )(Y  Z ))  ( X  X  Y )( X  Y  Z ) p.o.s  (1  Y )( X  Y  Z )  X  Y  Z s.o.p c) ( A  BC  CD)( B  EF )  ( A  B  C )( A  B  D)( A  C  D)( B  EF )  ( A  B  C )( A  B  D)( A  C  D)( B  E )( B  F ) p.o.s ( A  BC  CD)( B  EF )  A( B  EF )  BC ( B  EF )  CD( B  EF )  AB  AEF  BCEF  BCD  CDEF s.o.p 2-13 a) A B b) c) Y C Z A Z B D C Y A X B W C A C B D A Y C X B Z © 2016 Pearson Education, Inc., Hoboken, NJ All rights reserved This material is protected under all copyright laws as they currently exist No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher Problem Solutions – Chapter 2-14 a) b) Y 1 X 1 X 1 Z X Y + YZ+XYZ c) Y Z XY + XZ + YZ A 1 A B d) B 1 C C + AB 1 1 C AB + AC + BC BC + AB + AC or 2-15.* a) b) Y 1 1 X c) B 1 1 A B 1 A 1 C CB AA+CB C BB+CC 2-16 a) T a his th nd wo o eir is rk w r sa co pro is ill le u vi pr de o rse de ot st f a s d s ec ro n an o te y y p d le d th a a ly by e rt ss fo U in o e r te f t ss th nite gr hi in e ity s w g us d S of or stu e o tat th k ( de f i es e in nt ns co w cl le tr p or ud a uc y r k an ing rnin tors igh d on g in t la is w D no the iss tea s t p W em ch er or in ing m ld a itt W tio ed id n e W eb ) Z XZ XZ  + XY b) C 1 1 B A C c) 1 1 1 1 B A 1 D Y 1 1 D AC AD ABC BD  ABC  ACD X 1 W Z X Z  Y Z  WXY  W XYZ 2-17 a) 1 C 1 W b) Y X 1 1 A Z 1 1 B 1 D F  BC  ACD  ABD  ABC  ( ABD or ACD) F  XZ  Y Z  W XY  W XYZ © 2016 Pearson Education, Inc., Hoboken, NJ All rights reserved This material is protected under all copyright laws as they currently exist No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher Problem Solutions – Chapter 2-18.* a) b) 1 X 1 W 1 1 X m  3 5 6  m(3, 5, 6, 7) 1 A 1 Z C 1 Y c) Y Z m  3 4 5 7 9 13 14 15 m(3, 4, 5, 7, 9, 13, 14, 15) B D m  0 2 6 7 8 10 13 15 m(0, 2, 6, 7, 8, 10, 13, 15) 2-19.* a) Prime  XZ , WX , XZ , WZ b) Prime  CD, AC, BD, ABD, BC Essential  XZ , XZ c) Essential  AC , BD, ABD Prime  AB, AC, AD, BC , BD, CD Essential  AC, BC , BD 2-20 a) Prime  BD, ACD, ABC, ABC, ACD b) Prime  WY , XY ,WXZ ,W X , XYZ ,WYZ Essential  WY , XY T a his th nd wo o eir is rk w r sa co pro is ill le u vi pr de o rse de ot st f a s d s ec ro n an o te y y p d le d th a a ly by e rt ss fo U in o e r te f t ss th nite gr hi in e ity s w g us d S of or stu e o tat th k ( de f i es e in nt ns co w cl le tr p or ud a uc y r k an ing rnin tors igh d on g in t la is w D no the iss tea s t p W em ch er or in ing m ld a itt W tio ed id n e W eb ) Essential  ACD, ABC, ABC, ACD Redundant  W X , XYZ ,WYZ Redundant  BD F  ACD  ABC  ABC  ACD F  WY  XY  WXZ Prime  W Z , X Z ,WYZ , XYZ ,W XY ,W XZ ,WXY c) Essential  W Z , X Z Redundant =W XY ,W XZ ,WXY F  W Z  X Z  WYZ  XYZ 2-21 a) F 0 W 0 0 X A F b) Y 0 Z C 0 B 0 0 D F  m(3,4,5,6,7,9,11,13) F  m(0, 2, 6, 7, 8, 9, 10, 12, 14, 15) F  W X  WYZ  XYZ F  BD  BC  ABC  AD F  (W  X )(W  Y  Z )( X  Y  Z ) F  ( B  D)( B  C)( A  B  C )( A  D) 2-22.* a) s.o.p p.o.s CD  AC  BD (C  D)( A  D)( A  B  C ) b) s.o.p p.o.s AC  BD  AD (C  D)( A  D)( A  B  C ) c) s.o.p p.o.s BD  ABD  ( ABC or ACD) ( A  B)( B  D)( B  C  D) © 2016 Pearson Education, Inc., Hoboken, NJ All rights reserved This material is protected under all copyright laws as they currently exist No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher Problem Solutions – Chapter 2-23 a) s.o.p ABD  ABC  ABD  ABC b) s.o.p or ACD  BCD  ACD  BCD p.o.s X  YZ  W Z ( X  Y  Z )(W  X  Z ) ( A  B  D)( A  B  C )( A  B  D)( A  B  C ) p.o.s or ( A  C  D)( B  C  D)( A  C  D)(B  C  D) 2-24 b) a) X X A X X X X 1 B 1 A C c) C B X D X Z F  AD  ( ABD  BCD) or F  AC X W X X X Y 1 X X F  XY Z W XY  WYZ  XYZ T a his th nd wo o eir is rk w r sa co pro is ill le u vi pr de o rse de ot st f a s d s ec ro n an o te y y p d le d th a a ly by e rt ss fo U in o e r te f t ss th nite gr hi in e ity s w g us d S of or stu e o tat th k ( de f i es e in nt ns co w cl le tr p or ud a uc y r k an ing rnin tors igh d on g in t la is w D no the iss tea s t p W em ch er or in ing m ld a itt W tio ed id n e W eb ) ( ACD  BCD) or ( ABD  ABC ) 2-25.* b) a) B X A 1 X 2-26 a)(1) W Y X X X X X X 0 X X 1 Z F  WY  Y Z  WYZ  WX Z X X X A X Z = ,XZ  XZ, WXY WXY, WXY PrimesPrimes  XZ , XZ WXY WY Z, WYZ WYZ WYZ Essential Essential  XZ = XZ F = XZ + WXY + WXY F  XZ  WXY  WXY = ,AB  AC  BC ABC PrimesPrimes  AB, AC BC , ABC Essential = ,AB  AC BC Essential  AB, AC BC F = AB + AC + BC F  AB  AC  BC a)(2) b)(1) Y X W X X X X X X 0 X C X W C c) Y A C b)(2) X X X B 1 Z X X D F  ( XY or X Z )  WYZ  WY Z  (WXZ or WYZ ) 1 X X X B D Primes C,AD Primes  AB=, AB C, AD BD BD Essential = C  AD Essential  C , AD F = C + AD +  BD or AB  F  C  AD( BD or AB) F  BD X C X X X B 1 X X X X A X X X D F BD F  BD F  ((X Y) or (X+Z))(W+Y+Z)(W+Y+Z) ((W+X+Z) or (W+Y+Z)) © 2016 Pearson Education, Inc., Hoboken, NJ All rights reserved This material is protected under all copyright laws as they currently exist No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher Problem Solutions – Chapter 2-27.* X  Y  XY  XY Dual(X  Y )  Dual( XY  XY )  ( X  Y )( X  Y )  XY  XY  XY  XY  X Y 2-28 ABCD  AD  AD  ABCD  ( A  D) Note that X  Y  ( X  Y )  XY Letting X  ABCD and Y  A  D, We can observe from the map below or determine algebraically that XY is equal to T a his th nd wo o eir is rk w r sa co pro is ill le u vi pr de o rse de ot st f a s d s ec ro n an o te y y p d le d th a a ly by e rt ss fo U in o e r te f t ss th nite gr hi in e ity s w g us d S of or stu e o tat th k ( de f i es e in nt ns co w cl le tr p or ud a uc y r k an ing rnin tors igh d on g in t la is w D no the iss tea s t p W em ch er or in ing m ld a itt W tio ed id n e W eb ) C A 1 1 1 B 1 D For this situation, X  Y  ( X  Y )  XY  ( X Y )   X Y So, we can write F ( A, B, C, D)  X  Y  ABCD  ( A  D) A B D C F 2-29.* The longest path is from input C or D 0.073 ns + 0.073 ns + 0.048 ns + 0.073 ns = 0.267 ns © 2016 Pearson Education, Inc., Hoboken, NJ All rights reserved This material is protected under all copyright laws as they currently exist No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher Problem Solutions – Chapter 2-30 a) b) c) 1.0 2.0 3.0 4.0 5.0 6.0 7.0 8.0 9.0 ns 2-31 a) t PHL-C, D to F  2t PLH  t PHL  2(0.36)  2(0.20)  1.12 ns t PLH-C, D to F  2t PHL  2t PLH  2(0.20)  2(0.36)  1.12 ns t pd  1.12 ns t PHL-B to F  2t PHL  t PLH  2(0.20)  (0.36)  0.76 ns T a his th nd wo o eir is rk w r sa co pro is ill le u vi pr de o rse de ot st f a s d s ec ro n an o te y y p d le d th a a ly by e rt ss fo U in o e r te f t ss th nite gr hi in e ity s w g us d S of or stu e o tat th k ( de f i es e in nt ns co w cl le tr p or ud a uc y r k an ing rnin tors igh d on g in t la is w D no the iss tea s t p W em ch er or in ing m ld a itt W tio ed id n e W eb ) t PLH-B to F  2t PHL  t PLH  2(0.36)  (0.20)  0.92 ns t pd-B to F  0.76  0.92  0.84 ns t PHL-A, B, C to F  t PLH  t PHL  0.36  0.20  0.56 ns t PLH-A, B, C to F  t PHL  t PLH  0.20  0.36  0.56 ns t pd-A, B, C to F  0.56 ns b) t pd-C, D to F  t pd  4(0.28)  1.12 ns t pd-B to F  t pd  3(0.28)  0.78 ns t pd-A, B, C to F  t pd  2(0.28)  0.56 ns c) For paths through an odd number of inverting gates with unequal gate tPHL and tPLH, path tPHL, tPLH, and tpd are different For paths through an even number of inverting gates, path tPHL, tPLH, and tpd are equal 2-32 If the rejection time for inertial delays is greater than the propagation delay, then an output change can occur before it can be predicted whether or not it is to occur due to the rejection time For example, with a delay of ns and a rejection time of ns, for a 2.5 ns pulse, the initial edge will have already appeared at the output before the ns has elapsed at which whether to reject or not is to be determined 10 © 2016 Pearson Education, Inc., Hoboken, NJ All rights reserved This material is protected under all copyright laws as they currently exist No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher Problem Solutions – Chapter 2-33.+ a) The propagation delay is tpd  max(tPHL  0.05, tPLH  0.10)  0.10 ns a) The propagation delay istpd = max( tPHL = 0.05, tPLH = 0.10) = 0.10 ns a) The tPHLpulse, = 0.05, = 0.10) = 0.10occurs: ns Assuming that the gatepropagation is an inverter,delay for aistpositive output thet following actually pd = max( Assuming that the gate is an inv, erter f or a positiv ePLH output pulse, the f ollowing actually occurs: Assuming that the gate is an inv, erter f or a positiv e output pulse, the f ollowing actually occurs: 0.05 ns 0.10 ns0.05 ns 0.10 ns If the input pulse is narrower than 0.05 ns, no output pulse occurs so the rejection time is 0.05 ns If the input pulse is narrower the thanf ollowing 0.05 ns,results, no output pulse occurs so the delay rejection time The resulting model which f erdif ftime rom behav , ioris 0.05 ns If the input pulse is narrower than 0.05predicts ns, no output pulse occurs so the rejection isthe 0.05actual ns The resulting model The resulting model predicts the f ollowing results, which f er dif f rom the actual delay behav but models rejection behav : delay behavior, but models the rejection behavior: : , ior predicts the following results,the which differ from theior: actual but models the rejection behav ior: : 0.10 ns 0.10 ns 0.10 ns 0.10 ns T a his th nd wo o eir is rk w r sa co pro is ill le u vi pr de o rse de ot st f a s d s ec ro n an o te y y p d le d th a a ly by e rt ss fo U in o e r te f t ss th nite gr hi in e ity s w g us d S of or stu e o tat th k ( de f i es e in nt ns co w cl le tr p or ud a uc y r k an ing rnin tors igh d on g in t la is w D no the iss tea s t p W em ch er or in ing m ld a itt W tio ed id n e W eb ) b) For a negative output pulse, the following actually occurs: b) For a negative output pulse, the following actually occurs: b) For a negative output pulse, the following actually occurs: 0.05 ns 0.15 ns 0.050.10 ns ns 0.10 ns 0.15 ns The model predicts the f ollowing results, which f ers dif f rom the actual delay behav ior and f rom The model predicts the following results, which differs from the actual delay behavior and from the actual rejection the actual rejection behavior: The model predicts the f ollowing results, which f ers dif f rom the actual delay behav ior and f rom behavior: the actual rejection behavior: 0.10 ns0.10 ns 0.10 ns0.10 ns Overall, the model is inaccurate for both cases a and b, and provides a faulty rejection model fthe or model case b Using anfor avboth erage of and t b, f or t provides would improv e rejection the delay Overall, is inaccurate cases at and and a faulty PHL PLH pd Overall, the model is inaccurate for both and b, and provides aof faulty rejection model for case b.fails Using an accuracy thecases model for circuit applications, but the rejection model still model f orof case b a Using an av erage and t t f or t would improv e the delay PHL PLH pd average of tPHL and tPLH for t would improve the delay accuracy of the model for circuit applications, but the rejection pd accuracy of the model for circuit applications, but the rejection model still fails model still fails 2-34.* X1 N1 N2 X2 N6 N3 f N4 X3 N5 X4 2-35 Figure 4-40: Structural VHDL Description library ieee; use ieee.std_logic_1164.all; entity nand2 is port(in1, in2: in std_logic; out1 : out std_logic); end nand2; 11 © 2016 Pearson Education, Inc., Hoboken, NJ All rights reserved This material is protected under all copyright laws as they currently exist No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher Problem Solutions – Chapter architecture concurrent of nand2 is begin out1

Ngày đăng: 28/02/2019, 15:14

Tài liệu cùng người dùng

Tài liệu liên quan