Digital systems principles and applications

1K 236 0
Digital systems principles and applications

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Digital systems principles and applications Digital systems principles and applications Digital systems principles and applications Digital systems principles and applications Digital systems principles and applications Digital systems principles and applications Digital systems principles and applications Digital systems principles and applications Digital systems principles and applications Digital systems principles and applications

TWELFTH EDITION GLOBAL EDITION Digital Systems Principles and Applications Neal S Widmer Purdue University Gregory L Moss Purdue University Ronald J Tocci Monroe Community College Harlow, England • London • New York • Boston • San Francisco • Toronto • Sydney • Dubai • Singapore • Hong Kong Tokyo • Seoul • Taipei • New Delhi • Cape Town • Sao Paulo • Mexico City • Madrid • Amsterdam • Munich • Paris • Milan Editor-in-Chief: Andrew Gilfillan Product Manager: Anthony Webster Program Manager: Holly Shufeldt Project Manager: Rex Davidson Editorial Assistant: Nancy Kesterson Team Lead Project Manager: Bryan Pirrmann Team Lead Program Manager: Laura Weaver Project Manager, Global Edition: Sudipto Roy Senior Acquisitions Editor, Global Edition: Sandhya Ghoshal Senior Project Editor, Global Edition: Daniel Luiz Project Editor, Global Edition: Rahul Arora Manager, Media Production, Global Edition: M Vikram Kumar Manufacturing Controller, Production, Global Edition: Angela Hawksbee Director of Marketing: David Gesell Senior Product Marketing Manager: Darcy Betts Field Marketing Manager: Thomas Hayward Procurement Specialist: Deidra M Skahill Creative Director: Andrea Nix Art Director: Diane Y Ernsberger Cover Designer: Lumina Datamatics, Inc Full-Service Project Management: Philip Alexander/Integra Software Services, Pvt, Ltd Pearson Education Limited Edinburgh Gate Harlow Essex CM20 2JE England and Associated Companies throughout the world Visit us on the World Wide Web at: www.pearsonglobaleditions.com © Pearson Education Limited 2018 The rights of Ronald Tocci, Neal Widmer, and Greg Moss to be identified as the authors of this work have been asserted by them in accordance with the Copyright, Designs and Patents Act 1988 Authorized adaptation from the United States edition, entitled Digital Systems, 12th edition, ISBN 978-0-134-22013-0, by Ronald Tocci, Neal Widmer, and Greg Moss, published by Pearson Education © 2017 All rights reserved No part of this publication may be reproduced, stored in a retrieval system, or transmitted in any form or by any means, electronic, mechanical, photocopying, recording or otherwise, without either the prior written permission of the publisher or a license permitting restricted copying in the United Kingdom issued by the Copyright Licensing Agency Ltd, Saffron House, 6–10 Kirby Street, London EC1N 8TS All trademarks used herein are the property of their respective owners The use of any trademark in this text does not vest in the author or publisher any trademark ownership rights in such trademarks, nor does the use of such trademarks imply any affiliation with or endorsement of this book by such owners ISBN 10: 129-2-16200-7 ISBN 13: 978-1-292-16200-3 British Library Cataloguing-in-Publication Data A catalogue record for this book is available from the British Library 10 14 13 12 11 10 Printed and bound in Vivar, Malaysia Typeset in Times Europa LT Std Roman by Integra Software Services, Pvt, Ltd Preface This book is a comprehensive study of the principles and techniques of modern digital systems It teaches the fundamental principles of digital systems and covers thoroughly both traditional and modern methods of applying digital design and development techniques, including how to manage a systemslevel project The book is intended for use in two- and four-year programs in technology, engineering, and computer science It can also be used for High School STEM education courses in these topical areas Although a background in basic electronics is helpful, most of the material requires no electronics training Portions of the text that use electronics concepts can be skipped without adversely affecting the comprehension of the logic principles What’s New in This Edition? The following list summarizes the improvements in the twelfth edition of Digital Systems Details can be found in the section titled “Specific Changes” on page ■ ■ ■ ■ ■ ■ ■ Every section of every chapter now has a short list of expected outcomes for that section Chapter has been revised extensively in response to feedback from users New material on troubleshooting prototype circuits using systematic fault isolation techniques applied to digital logic circuits has been added to Section 4-13 Quadrature Shaft Encoders used to obtain absolute shaft position serve as a real example of flip-flop applications, and timing limitations More material has been added to better explain the behavior of VHDL data objects and how they are updated in sequential processes Throughout the text, obsolete technology has been deleted or abbreviated to provide only content appropriate to modern systems More modern examples are used as needed Some new problems have been added and outdated problems have been removed PREFACE General Features In industry today, getting a product to market very quickly is important The use of modern design tools, CPLDs, and FPGAs allows engineers to progress from concept to functional silicon very quickly Microcontrollers have taken over many applications that once were implemented by digital circuits, and DSP has been used to replace many analog circuits It is amazing that microcontrollers, DSP, and all the necessary glue logic can now be consolidated onto a single FPGA using a hardware description language with advanced development tools Today’s students must be exposed to these modern tools, even in an introductory course It is every educator’s responsibility to find the best way to prepare graduates for the work they will encounter in their professional lives The standard SSI and MSI parts that have served as “bricks and mortar” in the building of digital systems for over 40 years are now obsolete and becoming less available Many of the techniques that have been taught over that time have focused on optimizing circuits that are built from these outmoded devices The topics that are uniquely suited to applying the old technology but not contribute to an understanding of the new technology are being de-emphasized From an educational standpoint, however, these small ICs offer a way to study simple digital circuits, and the wiring of circuits using breadboards is a valuable pedagogic exercise They help to solidify concepts such as binary inputs and outputs, physical device operation, and practical limitations, using a very simple platform Consequently, we have chosen to continue to introduce the conceptual descriptions of digital circuits and to offer examples using conventional standard logic parts For instructors who continue to teach the fundamentals using SSI and MSI circuits, this edition retains those qualities that have made the text so widely accepted in the past Many hardware design tools even provide an easy-to-use design entry technique that will employ the functionality of conventional standard parts with the flexibility of programmable logic devices A digital design can be described using a schematic drawing with pre-created building blocks that are equivalent to conventional standard parts, which can be compiled and then programmed directly into a target PLD with the added capability of easily simulating the design within the same development tool We believe that graduates will actually apply the concepts presented in this book using higher-level description methods and more complex programmable devices The major shift in the field is a greater need to understand the description methods, rather than focusing on the architecture of an actual device Software tools have evolved to the point where there is little need for concern about the inner workings of the hardware but much more need to focus on what goes in, what comes out, and how the designer can describe what the device is supposed to We also believe that graduates will be involved with projects using state-of-the-art design tools and hardware solutions This book offers a strategic advantage for teaching the vital topic of hardware description languages to beginners in the digital field VHDL is undisputedly an industry standard language at this time, but it is also very complex and has a steep learning curve Beginning students are often discouraged by the rigorous requirements of various data types, and they struggle with understanding edge-triggered events in VHDL Fortunately, Altera offers AHDL, a less demanding language that uses the same basic concepts as VHDL but is much easier for beginners to master So, instructors can opt to use AHDL to teach introductory students or VHDL for more advanced classes This edition offers more than 40 AHDL examples, more than 40 VHDL examples, and many examples of simulation testing All of these design files are available on the website (http://www.pearsonglobaleditions.com/tocci) PREFACE Altera’s software development system is Quartus II The material in this text does not attempt to teach a particular hardware platform or the details of using a software development system We have chosen to show what this tool can do, rather than train the reader how to use it Many laboratory hardware options are available to users of this book Complete development boards are available that offer the normal types of inputs and outputs like logic switches, pushbuttons, clock signals, LEDs, and 7-segment displays Many boards also offer standard connectors for readily available computer hardware, such as a standard keyboard, computer mouse, VGA video monitor, COM ports, audio in/out jacks, plus two 40-pin general-purpose I/O ribbon connectors that allow connection to any digital peripheral hardware Our approach to HDL and PLDs gives instructors several options: The HDL material can be skipped entirely without affecting the continuity of the text HDL can be taught as a separate topic by skipping the material initially and then going back to the last sections of Chapters 3, 4, 5, 6, 7, and and then covering Chapter 10 HDL and the use of PLDs can be covered as the course unfolds— chapter by chapter—and woven into the fabric of the lecture/lab experience Among all specific hardware description languages, VHDL is clearly the industry standard and is most likely to be used by graduates in their careers We have always felt that it is a bold proposition, however, to try to teach VHDL in an introductory course The nature of the syntax, the subtle distinctions in object types, and the higher levels of abstraction can pose obstacles for a beginner For this reason, we have included Altera’s AHDL as the recommended introductory language for freshman and sophomore courses We have also included VHDL as the recommended language for more advanced classes or introductory courses offered to more mature students We not recommend trying to cover both languages in the same course Sections of the text that cover the specifics of a language are clearly designated with a color bar in the margin The HDL code figures are set in a color to match the color-coded text explanation The reader can focus only on the language of his or her choice and skip the other Obviously, we have attempted to appeal to the diverse interests of our market, but we believe we have created a book that can be used in multiple courses and will serve as an excellent reference after graduation Chapter Organization Many instructors opt to not use the chapters of a textbook in the sequence in which they are presented This book was written so that, for the most part, each chapter builds on previous material, but it is possible to alter the chapter sequence somewhat The first part of Chapter (arithmetic operations) can be covered right after Chapter (number systems), although this will lead to a long interval before the arithmetic circuits of Chapter are encountered Much of the material in Chapter (IC characteristics) can be covered earlier (e.g., after Chapter or 5) without creating any serious problems This book can be used either in a one-term course or in a two-term sequence In a one-term course, limits on available class hours might require omitting some topics Obviously, the choice of deletions will depend on factors such as program or course objectives and student background Sections FiGurE P1 Letters denote categories of problems, and asterisks indicate that corresponding solutions are provided at the end of the text PREFACE PROBLEMS SECTION 9-1 B B 9-1 Refer to Figure 9-3 Determine the levels at each decoder output for the following sets of input conditions (a)*All inputs LOW (b)*All inputs LOW except E3 = HIGH (c) All inputs HIGH except E1 = E2 = LOW (d) All inputs HIGH 9-2.* What is the number of inputs and outputs of a decoder that accepts 128 different input combinations? * Answers to problems marked with an asterisk can be found in the back of the text in each chapter that deal with troubleshooting, PLDs, HDLs, or microcomputer applications can be deferred to an advanced course PrObLEM SETS This edition includes six categories of problems: basic (B), challenging (C), troubleshooting (T), new (N), design (D), and HDL (H) Undesignated problems are considered to be of intermediate difficulty, between basic and challenging Problems for which solutions are printed in the back of the text or on the website (http://www.pearsonglobaleditions com/tocci) are marked with an asterisk (see Figure P1) PrOjECT MANAGEMENT AND SySTEM-LEvEL DESiGN Several realworld examples are included in Chapter 10 to describe the techniques used to manage projects These applications are generally familiar to most students studying electronics, and the primary example of a digital clock is familiar to everyone Many texts talk about top-down design, but this text demonstrates the key features of this approach and how to use the modern tools to accomplish it SiMuLATiON FiLES This edition also includes simulation files that can be loaded into Multisim® The circuit schematics of many of the figures throughout the text have been captured as input files for this popular simulation tool Each file has some way of demonstrating the operation of the circuit or reinforcing a concept In many cases, instruments are attached to the circuit and input sequences are applied to demonstrate the concept presented in one of the figures of the text These circuits can then be modified as desired to expand on topics or create assignments and tutorials for students All figures in the text that have a corresponding simulation file on the website are identified by the icon shown in Figure P2 Specific Changes The major changes in the topical coverage are listed here ■ Chapter Chapter has been revised extensively in response to feedback from users The significance of how Digital Systems will impact innovations of the future is emphasized New material focuses on interpretation of terminology and introduction to concepts used throughout the text Basic concepts of binary PREFACE FIGURE 9-1 General decoder diagram A0 O0 A1 N inputs A2 O1 Decoder N AN21 input codes FiGurE P2 ■ ■ ■ ■ ■ ■ ■ O2 M outputs OM21 Only one output is HIGH for each input code The icon denotes a corresponding simulation file on the Web signals are introduced and explained through examples New material on periodic cycles and measurements on digital waveforms is presented, setting the stage for understanding these issues in later chapters The basics of digital signals and sampling are explained at a very introductory level This chapter in the 11th edition had material that has now become very outdated since its publication Some of the historic analogies used in that edition were ineffective The revisions have replaced or eliminated these Chapter The Gray Code is used to introduce the concept of a quadrature encoder: a device that produces a 2-bit Gray Code sequence capable of discerning the direction and angular rotation of a shaft Chapter New problems at the end of this chapter focus on logic circuits common to automobiles Chapter The material introducing PLD programming and development software has been updated and improved The section on troubleshooting has been expanded to teach structured problem solving as it applies to hardware debugging of traditional prototyped digital circuits The VHDL material has been enhanced to explain some subtle but very important aspects of data objects in this language The role of the “PROCESS” is also more thoroughly covered improving the foundation that Chapter builds on Chapter High-speed digital systems are easily affected by timing limitations of the circuitry New material in this chapter explains the adverse effects caused when setup and hold time requirements are violated by explaining meta-stability A teaching example that can be reproduced in the laboratory environment has been added The focus is on the many applications of D flip-flops but it is presented in the context of a quadrature shaft encoder that must reliably and repeatedly keep track of absolute shaft position as it is rotated back and forth over many cycles Design techniques from Chapter are employed to design a circuit that should meet the system’s needs The initial circuit’s marginal performance demonstrates what happens when real-timing constraints are not taken into account A way to correct this problem is presented using even more applications of D flip-flops Chapter An Example from the 11th edition used some features of Quartus software that have since become obsolete The example has been modified to align with more recent updates of Quartus Chapter Very few and minor changes were made to Chapter Chapter The section on the obsolete Emitter Coupled Logic (ECL) was deleted along with other minor updates PREFACE ■ ■ ■ ■ ■ Chapter The concept of Time Division Multiplexing is added to provide an example of how many digital signals are able to share a common data pathway A simple system is presented that can easily be reproduced in a laboratory exercise Chapter 10 No changes were made in Chapter 10 Chapter 11 No changes were made in Chapter 11 Chapter 12 The coverage of floating gate MOSFETS, the technology behind flash memory, is enhanced Chapter 13 This chapter has been generalized with references to older series of CPLDs and FPGAs abbreviated retained Features This edition retains all of the features that made the previous editions so widely accepted It utilizes a block diagram approach to teach the basic logic operations without confusing the reader with the details of internal operation All but the most basic electrical characteristics of the logic ICs are withheld until the reader has a firm understanding of logic principles In Chapter 8, the reader is introduced to the internal IC circuitry At that point, the reader can interpret a logic block’s input and output characteristics and “fit” it properly into a complete system The treatment of each new topic or device typically follows these steps: the principle of operation is introduced; thoroughly explained examples and applications are presented, often using actual ICs; short review questions are posed at the end of the section; and finally, in-depth problems are available at the end of the chapter These problems, ranging from simple to complex, provide instructors with a wide choice of student assignments These problems are often intended to reinforce the material without simply repeating the principles They require students to demonstrate comprehension of the principles by applying them to different situations This approach also helps students to develop confidence and expand their knowledge of the material The material on PLDs and HDLs is distributed throughout the text, with examples that emphasize key features in each application These topics appear at the end of each chapter, making it easy to relate each topic to the general discussion earlier in the chapter or to address the general discussion separately from the PLD/HDL coverage The extensive troubleshooting coverage is spread over Chapters through 12 and includes presentation of troubleshooting principles and techniques, case studies, 17 troubleshooting examples, and 46 real troubleshooting problems When supplemented with hands-on lab exercises, this material can help foster the development of good troubleshooting skills This edition offers more than 220 worked-out examples, more than 660 review questions, and more than 640 chapter problems/exercises Some of these problems are applications that show how the logic devices presented in the chapter are used in a typical microcomputer system Answers to a majority of the problems immediately follow the Glossary The Glossary provides concise definitions of all terms in the text that have been highlighted in boldface type An IC index is provided at the back of the book to help readers locate easily material on any IC cited or used in the text The back endsheets provide tables of the most often used Boolean algebra theorems, logic gate summaries, and flip-flop truth tables for quick reference when doing problems or working in the lab PREFACE Supplements An extensive complement of teaching and learning tools has been developed to accompany this textbook Each component provides a unique function, and each can be used independently or in conjunction with the others WEb rESOurCES ■ ■ ■ Quartus ii Web version software from Altera This development system software is available from Altera Design files from the textbook figures More than 40 design files in each language are presented in figures throughout the text Students can load these into the Altera software and test them Circuits from the text rendered in Multisim® Students can open and work interactively with approximately 100 circuits to increase their understanding of concepts and prepare for laboratory activities The Multisim circuit files are provided for use by anyone who has Multisim software iNSTruCTOr rESOurCES ■ ■ ■ Online Instructor’s Resource Manual This manual contains worked-out solutions for all end-of-chapter problems in this textbook Online PowerPoint® presentations Figures from the text, in addition to Lecture Notes for each chapter, are available Online TestGen A computerized test bank is available To access supplementary materials online, instructors need to request an instructor access code Go to www.pearsonglobaleditions.com/tocci, where you can register for an instructor access code Within 48 hours after registering, you will receive a confirming e-mail, including an instructor access code Once you have received your code, go to the site and log on for full instructions on downloading the materials you wish to use Acknowledgments We are grateful to all those who evaluated the eleventh edition and provided answers to an extensive questionnaire: Their comments, critiques, and suggestions were given serious consideration and were invaluable in determining the final form of the twelfth edition We also are greatly indebted to Professor Frank Ambrosio, Monroe Community College, for his usual high-quality work on the Instructor’s www.downloadslide.net 1010 INDEX Code converters (continued) conversion process, 711–712 other implementations, 714 Codec, 871 Column address strobe (CAS), 926 Combinational logic circuits, 156–254 algebraic simplification, 160–165 complete design procedure, 167–172 complete simplification process, 177–180 designing, 165–172 exclusive-NOR, 183–188 exclusive-OR, 183–188 Karnaugh map method, 172–183 parity generator and checker, 189–190 product-of-sums, 158–159 simplifying, 160–165 sum-of-products form, 158–159 summary, 240–241 Combined addition and subtraction, 397 Combining DRAM chips, 944–945 Command register, 910 Common input/output pins (in RAM), 918–919 Commutative laws, 113 Complementation, 100 (see also NOT operation) Complete hierarchy of the project (digital clock using HDL), 786 Complex programmable logic devices (CPLDs), 964 Computers data acquisition system, 842–844 decision process of a program, 136 digital, 48–51 embedded controller, 50 functional diagram of, 49 major parts of, 48–49 microcomputer, 49 microcontroller, 49 microprocessor, 49 programming languages, 134–137 types of, 49–50 Concatenating, 534 Conditional signal assignment statement, 735 Constants, 414 Contact bounce, 263 Control bus, 896 inputs, 273, 285 synchronous, 273 unit, 49 Controlled inverter, 186 Conversion time, ADC, 841–842, 847 Converter, data, 915 Count enable, 451 Counters and registers, 428–548 asynchronous (ripple), 430–434 propagation delay, 434–436 basic idea, 467 BCD, decoding, 462 cascading, 459–460 decade, 445 decoding, 451, 460–463, 525 design procedure, 469–472 displaying states, 441 feedback, with, 522 glitches, 436, 441 HDL, 480–492 J-K excitation table, 469 Johnson, 523–525 decoding, 525 with MOD numbers _2N, 439–440 multistage arrangement, 459–460 NEXT state, 464–467 parallel in/parallel out (74ALS174/74HC174), 514–516 parallel in/serial out (74ALS165/74HC165), 518–520 PRESENT state, 464–467 presettable, 448–450 recycle, 430 ring, 522–523, 678 ripple, 341–343, 430–434 self-correcting, 465 serial in/parallel out (74ALS164/74HC164), 520–521 serial in/serial out (74ALS166/74HC166), 516–518 74ALS160-163/74HC160-163 series, 450–454 74ALS190-191/74HC190-191 series, 454–458 shift register, 522–526 spike, 441 summary, 512, 548–549 synchronous (parallel), 436–439, 450–454 synchronous (parallel) down and up/down, 446–448 synchronous design, 467–475 synchronous design with D FFs, 474–475 synchronous presetting, 449 synchronous, analyzing, 464–467 transition states, 441 troubleshooting, 526–529 undesired states, 469 with MOD numbers _2N, 439–440 Counting binary, 42–43 decimal, 40–41 hexadecimal, 64 operation, 303–304 CPU, 49 Cross Bar Technology (74CBT), 616 low voltage (74CBTLV), 616 Crystal-controlled clock generators, 322 Current parameters for digital ICs, 572–573 sinking action, TTL, 577, 584 sinking transistor, TTL, 584 sourcing action, 577, 584 sourcing transistor, TTL, 584 transients, TTL, 601–602 D DAC (see Digital-to-analog converter) Data acquisition, 842–846, 869 bus bundle method, 723 defined, 714 floating, 716 operation, 719–725 compression, 870 converter, 915 distributors, 693–703 hold time, 922 lines, 313 rate buffer, 948 routing, by MUXs, 688–689 sampling, 842 selectors, 682–683 setup time, 922 storage and transfer, 296–298 tables, 915 transfer operation, 296 word, 722 Data transfer, 296–298 asynchronous, 296 data busing, 719–720 demultiplexers, 693–703 hold time requirement, 299–300 operation, 719–720 parallel, 282, 297–298 parallel versus serial transfer, 301 www.downloadslide.net INDEX economy and simplicity of, 301 speed, 301 and portability, 914 and storage, 296–298 registers, between, 719 serial, 297 shift registers, 298–301 simulation, full-step, (HDL), 771 simultaneous, 297 synchronous, 297 Decade counters, 445 Decimal counting, 40–41 point, 39 Decimal system, 39–40 binary-to-decimal conversion, 58 conversions, summary, 65–66 decimal-to-binary conversion, 59–61 counting range, 61 decimal-to-hex conversion, 62–63 hex-to-decimal conversion, 62 Decimal-to-BCD priority encoder (74147), 675–676 Decision control structures in HDL, 230–240 Decoders, 659–667 address, 900 applications, 665–666 BCD-to-7 segment drivers, 667–669 BCD-to-decimal, 660 Binary-to-octal, 660 column, 900 demultiplexer, 693–703 ENABLE inputs, 660 4-to-10, 664 liquid crystal displays (LCDs), 668–669 1-of-10, 664 1-of-8, 660–662 row, 900 3-line-to-8-line, 664 using HDL, 725–728 Decoding counters, 460–463 Johnson, counter, 523–525 Decoupling, power-supply TTL, 602 DeMorgan’s theorems, 115–118 implications of, 117–118 Demultiplexers (DEMUXs), 693–703, 736–739 1-line-to-8-line, 694–695 security monitoring system, applications, 695–697 Dependency notation &, 718 ◇, 621 ▽, 625 Depletion MOSFET, 603 Describing logic circuits, 88–155 summary, 144–145 Description languages versus programming languages, 134–137 Designing combinational logic circuits, 165–172 Detecting a transition or event, 295 Detecting an input sequence, 293–294 Development software (for PLDs), 217–219 Diagrams logic circuit connections, 198–199 simplified bus timing, 721 state transition, 304, 441, 465 timing, 465 Differential inputs, 849 Digital, techniques amplitude control, 833–834 and analog systems, 37–39 arithmetic, 360–427 1011 2’s-complement system addition, 371–372 2’s-complement system, multiplication, 377 2’s-complement system, subtraction, 372–375 BCD addition, 377–379 binary addition, 362–363 binary division, 377 binary multiplication, 375–376 carry propagation, 392–393 circuits, 383–384 full adder, 385 hexadecimal addition, 380–381 hexadecimal representation of signed numbers, 382–383 hexadecimal subtraction, 381–382 Integrated-circuit parallel adder, 393–395 number circles and binary arithmetic, 374–375 operations and circuits, 360–427 parallel binary adder, 384–386 signed number representation, 363–370 summary, 417–418 camera, 870 cellular telephone, 870–871 circuits, 28, 34 (see also Logic circuits) computers, 48–51 (see also Microcomputers) family tree, 962–967 integrated circuits, 34 multiplexer, 682–683 number systems, 39–43 one-shots, HDL, 541–548 pulses, 269–270 quantity, 815–816 ramp ADC, 837–839 representation, 35–36 signal processing (DSP), 865–868 architecture, 867 arithmetic logic unit (ALU), 867 barrel shifter, 867 filtering, 866–868 interpolation filtering, 868 multiply and accumulate section (MAC), 867 oversampling, 867 weighted average, 867 techniques advantages, 37 limitations, 38–39 temperature control system, 38 vs analog, review, 815–817 Digital signals, 29–33 duty cycle, 32 edges/events, 32 highs and lows over time, 31 period/frequency, 31–32 periodic/aperiodic, 31 timing, 30 transitions, 32 Digital systems, 37 input internally shorted to ground or supply, 202–203 introductory concepts, 22–55 summary, 51–52 malfunction in internal circuitry, 202 open signal lines, 206–207 open-circuited input or output, 203–205 output internally shorted to ground or supply, 203 output loading, 208 power supply, faulty, 207–208 short between two pins, 205–206 shorted signal lines, 207 tree diagram, 705 troubleshooting, 200–201, 637–638, 679–682, 703–706 prototyped circuits, 210–214 Digital-to-analog converter (DAC), 39, 816–845 accuracy, 830–831 analog output, 818 www.downloadslide.net 1012 INDEX Digital-to-analog converter (DAC) (continued) analog-to-digital conversion, used in, 836–837 applications, 833–834 bipolar, 824 circuitry, 824–829 control, used in, 833 conversion, 817–824 conversion accuracy, 826 current output, with, 826–828 digitizing a signal, 845 full-scale output, 818, 820 input weights, 819–820 integrated circuit (AD7524), 832–833 monotonicity, 831 offset error, 831 output waveform, 820 percentage resolution, 821–822 perfect, 830 R/2R ladder, 828–829 resolution, 820–822 serial, 834 settling time, 831 signal reconstruction, 844 specifications, 829–831 staircase, 820 staircase test, 835 static accuracy test, 835 step size, 820–821 troubleshooting, 834–835 Digitize reconstructing a signal, 842–844 signal, 833, 844–846 Digits, 35, 39 DIMM, 933 Diode, Schottky barrier (SBD), 590 DIP (dual-in-line package), 193 Discrete steps, 35 Displaying counter states, 441 Displays LCD, 668–673 backlit, 669 passive matrix panel, 672 reflective, 669 Super Twisted Nematic (STN), 672 TFT (Thin Film Transistor), 673 Twisted Nematic (TN), 672 LED, 668–669 common-anode, 668–669 common-cathode, 668–669 Distributive law, 113 Divide and conquer, troubleshooting process, 680 Dividend, 377 Division, binary, 377 Divisor, 377 D latch (transparent latch), 282–284, 334 D latch (see Flip-flops) Don’t-care conditions, 181–182 Double Data Rate SDRAM (DDRSDRAM), 934 Driver, decoder, 665 DSP (Digital Signal Processing), 865–868 Dual in-line package (DIP), 580 Dual-in-line package (DIP), 193 Dual-slope ADC, 857–858 Duty cycle, 32, 433–434 DVD player, block diagram, 219 Dynamic RAM (DRAM), 922–923 address multiplexing, 925–928 architecture, simplified, 926 combining chips, 944–945 controller, 932 DDRSDRAM, 934 DIMM, 933 EDO, 934 FPM (Fast Page Mode), 934 memory modules, 933–934 read/write cycles, DRAM, 929–930 read cycle, 929 write cycle, 930 refresh counter, 932 refreshing, 923, 930–932 burst, 931 CAS-before RAS refresh, 931, 932 distributed, 931 RAS-only refresh, 931 SDRAM (Synchronous DRAM), 934 SIMM, 933 simplified architecture of a typical, 926 SODIMM, 933 structure and operation, 924–928 technology, 933–935 E Edge-detector circuit, 277 Edge falling, 29 negative, 29 Edges, of a clock signal, 271–272 Edges/events, 32 Edge-triggered devices, 335–340 event, 335 logic primitive, 335 EDO (Extended Data Output) DRAM, 934 EEPROMs (electrically erasable PROMs), 907–908 Eight-input multiplexers, 684–686 Electrical noise, 76 Electrically Erasable PROMs (EEPROMs), 907–908 Electrostatic discharge (ESD), 613 microcontroller program memory, 914 ELSIF, 235–236 using AHDL, 236 using VHDL, 237 Embedded controller, 50 ENABLE inputs, decoders, 660–664 Enable/Disable circuits, 190–192 Encoders, 672–679 decimal-to-BCD priority, 675–676 8-line-to-3-line, 674 octal-to-binary, 674 priority, 675–676 switch, 676–679 Encoding, 673, 936 Enhancement MOSFET, 603 EPROMs (erasable programmable ROMs), 906–907 Erasable Programmable ROMs (EPROMs), 906–907 Error detection, parity method for, 76–78 Etching, incomplete, 207 Even-parity method, 77 Event, 335 Excitation table, J-K, 468 Exclusive NOR circuit, 185–188 OR circuit, 183–184 Extension, sign, 367–368 External faults, 206–207 F Falling edge, 29 Fan-out, 573 CMOS, 612 determining, 594–597 TTL, 593–598 Fast page mode (FPM) DRAM, 934 Fast TTL (74F), 591 FGMOSFET, 911 www.downloadslide.net 1013 INDEX Field-programmable gate arrays (FPGA), 639–640, 964–967 Altera Cyclone II characteristics using general purpose I/O standards, 640 Altera Cyclone II comparison of counter performance, 641 architecture, 934 characteristics of, 639–641 logic voltage levels, 639–640 maximum input voltage and output current ratings, 641 power dissipation, 640 power-supply voltage, 639 switching speed, 641 Filling K map from output expression, 180–181 First-in, first-out memory (FIFO), 947–948 Flash ADC, 856 conversion time of, 856 memory, 909–913 NAND, 911–912 NOR, 911–912 technology, 911–912 typical CMOS memory IC, typical, 109–911 Flip-flops, 47, 256–359 ambiguous output, 278 applications, 291 with timing constraints, 306–312 asynchronous inputs, 284–287 bistable multivibrator, 259 clearing, 259 clock signals, 271–274 clocked, 271–274 clocked D, 280–282 implementation of, 280 D (data), 280–281 implementation of, 270 D latch (transparent latch), 282–284, 334 defined, 258 edge-triggered, 272 feedback, 258 frequency division and counting, 302–305 input sequence detection, 293–294 J-K, 278–280 latches, 47 memory characteristics, 259 NAND gate latch, 259–264 alternate representations, 262 summary of, 261–262 troubleshooting case study, 267–269 using AHDL, 333 NOR gate latch, 265–267 override inputs, 285 propagation delays, 288 resetting, 259 S-R, 274–277 setting, 259 setup and hold times, 272–274 shift registers, 298–301 state on power-up, 267 summary, 343–344 synchronization, 292–293 terminology, 262 timing considerations, 287–289 timing problems, 289–291, 310–312 transition or event detection, 295 troubleshooting circuits, 322–327 Floating, 197 bus, 716 gate, MOSFETs, 906–908, 911–912 inputs (see also Unconnected inputs) Floating inputs, 197–198 (see also Unconnected inputs) Four-input multiplexers, 684 Free-running multivibrator, 319–322 Frequency, 31–32, 32, 271 counter project (HDL), 805–809 (see also HDL) division, 302–305, 432–433 and counting, 302–305 Full adder, 385 design of, 386–389 K-map simplification, 388 Full-featured counters in HDL, 487–488 Full-scale error (of a DAC), 830 Full-scale output (of a DAC), 818, 820 Full-step sequence (HDL stepper-motor), 768 Function generator, 915 Fusible-link, PROMs, 905 G GAL (Generic array logic), 974–975 output logic macro cells (OLMCs), 974–975 Gate(s) AND, 97–100 arrays, 963–964 NAND, 108–112 NOR, 108–112 NOT (inverter), 100–101 OR, 93–97 propagation delay, 131–132 which representation to use, 125–131 XNOR, 185–188 XOR, 183–184 Generator parity, 189–190 function, 915 Giga-scale integration (GSI), 194, 571 Glitches, 436, 441 Gray Code, 68–70 Gunning Transceivers Logic Plus, 627 Plus (74GTLP), 616 H Half-adder, 389 Half-step sequence (HDL stepper-motor), 768 HDL (hardware description language), 134, 217 adders, 412–414 behavioral description, 484 behavioral level of abstraction, 484 bit arrays, 223–224 bit vectors, 223–224 CASE, 538, 726, 771, 789–790 choosing coding techniques, 511 concatenation, 533 counters, 480–492 circuits with multiple components, 340–343 code converters, 741–744 combining blocks using only, 794–795 comparator, 740–741 decision control structures, 230–240 concurrent, 230 sequential, 230 decoder/driver, 7-segment, 729–732 decoders, using, 725–728 demultiplexers, 736–739 designing number systems in, 222 digital clock project, 781–798 block diagram, 782 building the blocks from the bottom up, 786 combining blocks graphically, 793–794 complete hierarchy of the project, 786 hours section circuit, 782 MOD-6 counter simulation, 787–788 MOD-60 section, 785 prescaler, 785 top-down hierarchical design, 784–786 www.downloadslide.net 1014 INDEX HDL (hardware description language) (continued) encoders, 732–736 format and syntax, 138–141 frequency counter project, 805–809 block diagram, 807 sampling interval, 806 timing and control block, 807 timing diagram, 808 full-featured counters in, 487–488 hierarchical design, 493 IF/ELSE, 231–232, 540 IF/ELSIF, 789 IF/THEN, 231 index, 223 keypad encoder project, 775–781 block diagram, 776 encoder operation, 776 problem analysis, 775 problem decomposition, 777 simulation, 781 strategic planning, 777 literals, 222 magnitude comparator, 740–741 microwave oven project, 798–805 definition of the project, 799–809 encoder/timer input control block, 803 hierarchy showing blocks and signals, 804 integration and testing, 804 magnetron control block, 803 minutes/seconds counter, 801 problem decomposition, 800–804 strategic planning, 800–804 synthesis, 804 system block diagram, 799 TC (terminal count), 802 the 3-digit BCD down counter for minutes and seconds, 802 MOD-12 design, 790–791 MOD-60 graphic block symbol, 794 mode, 139 multiplexers, 736–739 nesting, 774 NEXT, 538–539 one-shots, 541–548 PRESENT, 539 projects using, 764–812 registers, 533–539 representing data, 222–227 retriggerable, edge-triggered one-shots in, 544–545 ring counters, 539–540 scalars, 222 schematic diagram, 139 D latch, 334 NAND latch, 333 simulation of basic counter, 487 simulation of full-featured counter, 491–492 small-project management, 766–767 definition, 766, 799–800 problem decomposition, 766–767, 769–770, 777, 800–804 strategic planning, 766, 769–770, 777, 800–804 synthesis and testing, 767, 770–771, 804 system integration and testing, 767, 804 state transition description methods, 481 stepper motor driver project, 767–775 full-step sequence, 771 half-step sequence, 768–769 problem decomposition, 769–770 problem definition, 768–769 strategic planning, 769–770 synthesis and testing, 770–774 wave-drive sequence, 768 structural level of abstraction, 343 syntax and format, 138–141 TABLE, 726 timing simulation, 221 truth tables, 227–230 type, 139 wiring modules together, 493–500 Hertz, 271 Hexadecimal addition, 380–381 arithmetic, 380–382 number system, 61–66 representation of signed numbers, 382–383 subtraction, 381–382 Hierarchical design, 219 Hierarchy, 784–786 High capacity programmable logic devices (HCPLDs), 964 generations, 978–979 High-speed bus interface logic, 625–626 High-speed CMOS 74HC/HCT, 609 High-state noise margin (VNH), 576 Hold time (tH), 273–274, 287, 299 I IC synchronous counters, 450–460 IEEE/ANSI symbol for open-collector/drain outputs, 621 tristate outputs, 625 IF/ELSE, 231–232 IF/THEN, 231 IF/THEN/ELSE using AHDL, 232–233 Implementing logic circuits with PLDs, 137–138 Implications of DeMorgan’s theorems, 117–118 Incomplete address decoding, 943–944 Indeterminate logic level, 201 voltages, 197 Inhibit circuits, 99 Input currents for standard devices with a supply voltage of V, 631 sequence detection, 293–294 unit, 48 Integrated-circuit logic families, 571–657 ALU(s), 398–402 add operation, 399 AND operation, 400 CLEAR operation, 399 EX-OR operation, 400 expanding the, 401 OR operation, 400 PRESET operation, 400 SUBTRACT operation, 400 basic characteristics, 193–200 bipolar, 194–195 defined, 571–572 interfacing, 629–633 summary, 641 terminology, 572–581 unipolar, 194–195 Integrated-circuit packages, 578–581 ball grid array, 580 common, 578 dual-in-line (DIP), 578, 580 gull-wing, 580 J-shaped leads, 580 land grid array (LGA), 580 lead pitch, 578 low-profile five-pitch ball grid array (LFBGA), 580 plastic leaded chip carrier (PLCC), 580 quad flat pack (QFP), 580 shrink small outline package (SSOP), 580 small outline IC (SOIC), 580 surface-mount technology, 578 www.downloadslide.net 1015 INDEX thin quad flat pack (TQFP), 580 thin shrink small outline package (TSSOP), 580 thin very small outline package (TVSOP), 580 Integrated-circuit parallel adder, 393–395 Integrated-circuit registers, 514–521 parallel in/parallel out (74ALS174/74HC174), 514–515 parallel in/serial out (74ALS165/74HC165), 518–520 serial in/parallel out (74ALS164/74HC164), 520–521 serial in/serial out (74ALS166/74HC166), 516–518 Integrated-circuit shift-register counters, 526 Intellectual property (IP), 967 Interfacing 5-V TTL and CMOS, 631 high-voltage outputs driving low-voltage loads, 634 integrated circuit, 629–633 logic ICs, 630 low-voltage outputs driving high-voltage loads, 634 mixed-voltage, 634–635 not needed, 630 required, 630 with the analog world, 814–885 summary, 872–873 Intermediate signals, 132–133 Interpolation filtering, 868 Introduction to digital 1s and 0s, 24–28 Introductory concepts, 22–55 Invalid voltage levels, 577 Inversion, 100–101 (see also NOT operation) Inverted flip-flop output, 258 Inverter, 101 circuits containing, 103–104 controlled inverter, 186 response to slow noisy inverter, 315 Inverting tristate buffer, 623 J J-K excitation table, 468 J-K flip-flops, 278–280 J-lead packages, standard memory, 933 Jam transfer, 297, 449 JEDEC standard, 217 JTAG interface, 217, 975 Johnson counter, 523–525 decoding, 525 K Karnaugh map complete simplification process, 177–180 don’t-care conditions, 181–182 filling from output expression, 180–181 format, 172–174 looping, 174–177 method, 172–183 simplification, 388 summary, 183 L Labeling active-LOW signals, 130 bistate signals, 130–131 Ladder, R/2R, 828–829 Land grid array (LGA), 580 Large-scale integration (LSI), 194, 571 Latches, 47, 259–269, 282–284 (see also Flip-flops) resetting, 261 S-R, 262 setting, 261 Latch-up, 614 Latency, 927 Least significant bit (LSB), 42 Least-significant digit (LSD), 39 Level triggered, 32 Libraries of parameterized modules (LPMs), 328 Light-emitting diodes (LEDs), 668–669 common-anode vs common-cathode, 668–669 Limitations of digital techniques, 38–39 Linear buffers, 948 Linearity error (of a DAC), 830 Liquid crystal displays (LCDs), 669–672 backplane, 670 driving a, 670–671 types, 671–673 Loading factor, 573 TTL, 593–598 Local signals,VHDL, 143 Logic diagram using Quartus II schematic capture, 199 function generation, 692–693 level, 91 primitive, 335 probe, how to use, 201, 637–638 pulser, how to use, 201, 637–638 standard, (PLD), 964 states, 25 Logic circuits analysis using a table, 105–106 analyzing, 128–130 and technology, 33–34 arithmetic, 383–384 connection diagrams, 198–199 defined, 33 describing, 88–155 algebraically, 102–104 disabled, 190–192 enabled, 190–192 evaluation of outputs, 104–106 implementing from Boolean expressions, 107–108 implementing with PLDs, 137–138 interface, 629–633 pulse-shaping circuit, 433 pulse-steering, 192 Logic gates, 91–155 alternate representation, 122–125 AND, 98 Boolean theorems, 112–115 DeMorgan’s theorems, 115–118 evaluation of outputs, 104–106 NAND, 108–112 NOR, 108–112 NOT circuit (INVERTER), 101 OR, 94–97 summary of methods to describe, 132–134 truth tables, 92–93 which representation to use, 125–131 XNOR, 185–188 XOR, 183–184 Logic operations, 91 on bit arrays with HDLs, 410–411 Logic signals labeling active-LOW, 130 labeling bistate, 130–131 Logic symbol interpretation, 124 summary, 125 Logical complementation or inversion (NOT operation), 100–101 Look-ahead carry, 393 Look-up table (LUT), 965 Looping, 174–177 octets, 176–177 pairs, 174–175 quads, 175–176 www.downloadslide.net 1016 INDEX Low-voltage (74LV), 616 BiCMOS Technology (74LVT), 616 CMOS (74LVC), 615–616 series characteristics, 616 voltage technology, 614–617 Low-power Schottky TTL 74LS series (LS-TTL), 591 Low-state noise margin (VNL), 576 Low-voltage differential signaling (LVDS), 627 LPMs, 328 LUT (Look-up table), 965 functional block diagram, 977 LVDS (low-voltage differential signaling), 627 M Machines, state, 501–512 Macrofunction, 404 Magnetic storage, 935–936 Magnetoresistive RAM, 936 Magnitude comparator, 707–710, 740–741 applications, 709 cascading inputs, 708–709 data inputs, 708 outputs, 708 Magnitude of binary numbers, 364 Major parts of a computer, 48–49 Mask-Programmed gate arrays (MPGAs), 963 ROM (MROM), 905 Maximum clocking frequency (fMAX), 288 Maxplus2 functions, VHDL, 226 Mealy model, 501 Medium-scale integration (MSI), 194, 571 Megafunction LPMs for arithmetic circuits, 405–406 Megafunction registers, 529–532 MegaWizard settings, 529–530 Memory, 47, 884–959 auxiliary, 888, 891 bipolar NMOS and CMOS static RAM cells, 919–920 bootstrap, 914 cache, 891, 946–947 capacity, 889 cell, 889 CMOS static RAM cell, 920 compact disk, 888 connections, CPU, 895–897 density, 889 devices, 886–959 dynamic, devices, 891 embedded microcontroller program, 914 enable, 893 expanding capacity, 937–945 expanding word size, 937–945 fetch operation, 890 first-in, first-out (FIFO), 947–948 flash, 909–913 architecture, NAND, 913 bulk erase, 909 command register, 910 functional diagram, 911 IC, typical CMOS flash memory, 910–911 NAND, 911–912 NOR, 911–912 sector erase, 909 tradeoffs, 909 fold-back, 944 general operation, 892–895 magnetoresistive random access (MRAM), 936 main, 888, 891 map, 944 mass, 888, 914 module, 945 modules, DRAM, 933–934 NMOS static RAM cell, 920 nonvolatile, 890–891, 897 other technologies blu-ray, 936–937 magnetic storage, 935–936 optical, 936–937 random-access (RAM), 890 read-only (ROM), 891 read/write, 891 sequential-access, 890–891 special functions, 945–948 cache memory, 946–947 first-in, first-out, 947–948 static, devices, 891 store operation, 890 summary, 948–949 terminology, 888–891 unit, 48 volatile, 890 word, 890 working, 888, 891 Metastable states, 273, 312 Microcomputer application, 313–314 defined, 49 input unit, 48 memory unit, 48 output unit, 49 Microcontroller, 49 Microprocessor, 49 Digital signal processing (DSP), 962–963 READ operation, 896 WRITE operation, 896 Minuend, 373 Mixed systems, digital and analog, 37–39 Mixed-voltage interfacing, 634–635 high-voltage outputs driving low-voltage loads, 634–635 low-voltage outputs driving high-voltage loads, 634 voltage-level translator, 634 MOD number, 304, 432 changing, 441 general procedure, 443–444 Johnson counter, 523–525 ring counter, 522–523 Monostable multivibrator, 316–319 (see also One-shot) Monotonicity (of a DAC), 831 Moore model, 501 simulation of, 504–505 traffic light controller, 505–508 MOS electrostatic discharge (ESD), 613 FETs, 602–605 logic family, 602–605 NMOS, 603–605 static sensitivity, 613–614 MOS Floating-gate, 911 MOSFET, 602–605 basic switch, 603–604 CMOS, 606–608 digital circuits, 603–604 FGMOSFET, 911 N-MOS, 603 P-MOS, 605 Most significant bit (MSB), 42 Most significant digit (MSD), 39 MSI logic circuits BCD-to-7 segment decoder/drivers, 667–668 BCD-to-decimal decoder, 664 data busing, 712–713 decoders, 659–667 demultiplexers (DEMUXs), 693–703 encoders, 673–679 liquid crystal displays (LCDs), 669–672 www.downloadslide.net 1017 INDEX multiplexers (MUX), 682–693 summary, 744–745 tristate registers, 718–719 Multiple-emitter input transistor, 581 Multiplexers (MUX), 682–693, 736–739 applications, 688–693, 709–710 control sequence, seven-step, 691 eight-input, 684–685 four-input, 684 operation sequencing, using, 689–692 quad two-input, 686–687 time division, 699–703 two-input, basic, 683–684 Multiplexing ADC, 864–865 address (in DRAM), 925–928 Multiplication in the 2’-complement system, 377 of binary numbers, 375–376 N NAND gate, 108–112 alternate representation, 122–125 CMOS, 607 counter decoding, 460–463 defined, 110 internal circuitry of the edge-triggered J-K FF, 279–280 internal circuitry of the edge-triggered S-R FF, 276–277 latch flip-flop, 259–264 TTL, 581 universality of, 119–122 which representation to use, 125–131 Negation, 367–368 Negative edge, 29 Negative-going threshold voltage (VT-), 314–316 Negative-going transition (NGT), 271 NEXT state, 464–467 Nibble, 72–73 Nios® II, 967 NMOS logic circuits, 603 NMOS static RAM cell, 920 Noise, 37, 323 immunity, 575 Noise margin, 575 CMOS, 611 DC, 575 Nonretriggerable one-shot, 316–317 Nonvolatile memory, 906–907, 909, 914 NOR gate, 108–112 alternate representation, 122–125 CMOS, 607–608 defined, 108 latch, 265–267 NMOS, 603 universality of, 119–122 which gate representation to use, 125–131 Normal flip-flop output, 258 NOT circuit (INVERTER), 100–101 alternate representation, 122–125 circuits containing, 103–104 controlled inverter, 186 defined, 101 DeMorgan’s theorems, 115–118 implementing from Boolean expressions, 107–108 symbol, 101 which representation to use, 125–131 NOT operation, 91, 100–101 Number circles and binary arithmetic, 374–375 Number systems, 39–43 (see also Binary system) and codes, 56–87 applications, 79–81 binary, 41–42 decimal, 39–40 (see also Decimal system) digital, 39–43 hexadecimal, 61–66 summary, 81 Numerical representations, 34–36 O Observation/analysis, troubleshooting process, 680 Octal-to-binary encoders, 674 Octets, looping, 176–177 Odd-parity method, 77 Offset error, 831 1’s-complement form, 364 One-shot (monostable multivibrator), 316–319 actual devices, 318 AHDL, 542, 545–546 HDL, 541–548 retriggerable, edge-triggered in HDL, 544–545 VHDL, 543–545, 546–548 One-time programmable ROM (OTP), 906, 965 Open-collector buffer/drivers, 620–621 outputs, 617–621 Open-collector buffer/drivers, 620–621 Open-drain buffer/drivers, 620–621 outputs, 617–621 Operation fetch, 890 refresh, 891 Operational amplifier (in a DAC), 825 Optical memory, 936–937 OR gate, 94–97 alternate logic-gate representation, 122–125 Boolean theorems, 112–115 defined, 94 implementing from Boolean expressions, 107–108 symbol, 94 OR operation, 94–97 summary, 95–96 which representation to use, 125–131 Organizational hierarchical chart, 220 Oscillator, Schmitt-trigger, 319 OTP (one-time programmable ROM), 906, 965 Output buffers, ROM, 900 currents for standard devices with a supply voltage of V, 631 enable (OE), 894 enable time (tOE), 902 loading, 208 unit, 49 Overflow bit, 389 Override inputs, 285 P Pairs, looping, 174–175 Parallel loading, 448 parallel in/parallel out 74ALS174/74HC174, 514–516 parallel in/serial out 74ALS165/74HC165, 518–520 parallel-to-serial conversion, 689 transmission, 45–47 Parallel binary adder, 384–386 2’s-complement circuits, 395–398 carry propagation, 392–393 complete, with registers, 389–391 integrated circuits, 393–395 troubleshooting case study, 402–404 Parallel data transfer, 282, 297–298 versus serial transfer, 301 www.downloadslide.net 1018 INDEX Parallel and serial transmission, 45–47 trade-offs between, 46 Parameterizing the bit capacity of a circuit, 414–417 Parasitic, 614 Parity bit, 77–78 checker, 189–190 checking, 77, 189 errors single-bit, 77 two-bit, 77 generation, 189 generator, 189–190 method for error detection, 76–78 Percentage resolution, 821–822 Period, 31–32, 32, 271 periodic digital signals, 31 Pipeline ADC, 860–862 PIPO (parallel in/parallel out), 514 PISO (parallel in/serial out), 513 PISO register, AHDL, 535–536 PISO register, VHDL, 535–538 Pixels, 672 Plastic leaded chip carrier (PLCC), 580 Positional-value system, 39 Positive-going threshold voltage (VT+), 314 Positive-going transition (PGT), 271 Power down (in MROM), 905 requirements for digital ICs, 574–575 supply decoupling, TTL, 602 Precision reference supply, 826 Prescaler (digital clock using HDL), 785 PRESENT state, 464–467 PRESET, 285 Presettable counters, 448–450 Priority encoders, 675 Problem decomposion, (using HDL), 766, 769–770, 777 Product-of-sums, 158–159 Program, defined, 37, 48 Programmable Logic Devices (PLDs), 135, 214–222, 327–328, 960–981 architecture/s, 970–975 FPGA (see Field-programmable gate arrays) FPLA (field-programmable logic array), 974 programmable array logic (PAL), 965, 971–974 PROMs, 970–971 summary, 979 CPLD, 964 design and development process, 220–221 test vectors, 220 top-down, 220 development cycle flowchart, 221 development software, 217–219 AHDL, 218 compilers, 137 timing simulation, circuit described in HDL, 221 VHDL, 218 examples of, 963–967 FPGA (see Field-programmable gate arrays) fundamentals of PLD circuitry, 968–970 generic array logic (GAL), 974–975 hardware, 215–216 HCPLD, 964 hierarchical design, 219 look-up table (LUT), 971 macrocell, 965 mask-programmed gate arrays (MPGAs), 961 maxplus2 megafunction, 327–328 more on, 964–967 one-time programmable (OTP), 965 organizational hierarchical chart, 220 primitives, 327 product lines, 968 programmable array logic (PAL), 965 programmer, 217 programming, 216–217, JEDEC standard 217 development board, 217 JTAG, 217 zero insertion force socket (ZIF), 216–217 sequential circuits using schematic entry, 327–330 SPLD, 964–965 standard J lead memory packaging, 933 symbology, 969 universal, 217 Programmable ROMs (PROMs), 905–906 Programmer, 217 Programming languages, computer, 135–136 Projects, using HDL, 764–812 digital clock, 781–798 frequency counter, 805–799 keypad encoder, 775–781 management, 766–767 microwave oven, 798–805 stepper motor driver, 767–775 Propagation delay(s), 131 flip-flop, 288 in asynchronous counters, 434–436 integrated circuits, 574–575 TTL NAND gate, 588 Pull-down transistor, TTL, 584 Pull-up transistor, TTL, 584 Pulse(s), 269–270 leading edge, 269 negative, 269–270 positive, 269–270 shaping circuit, 433 steering circuit, 192, 277 trailing edge, 269 Q Quad flat pack (QFP), 580 two-input multiplexers, 686 Quad looping, 175–176 Quadrature encoders, 70–71, 294, 306–312 Quantization error, 840–841 Quartus II, 135 decoders, 661–662 magnitude comparator, 710 maxplus2 library, 529 mux, 682–683 schematic capture, 199 Quartz crystal, 322 watch, 303 Quasi-stable state, 316 R R/2R ladder digital-to-analog converters, 828–829 RAMs (random-access memories) architecture, 917–919 capacity expansion, 940–943 defined, 890 dynamic devices, 891 magnetoresistive, 936 power-up self-test, 977–978 semiconductor, 916 static (SRAM), 919–922 word size expansion, 937–945 Read operation CPU, 896 defined, 918 RAM, 916 Read/Write memory (RWM), 891 www.downloadslide.net INDEX 1019 Reconstructing a digitized signal, 844–845 Reflective LCDs, 669–670 Refresh counter, 932 Refreshing, DRAM, 923, 930–932 Register array, 900 Registers, 296, 428–548 accumulator, 384 address pointer, 947 and counters, 428–548 complete parallel adder with, 389–391 data transfer, 513 HDL, 533–539 megafunction, 529–532 notation, 390–391 sequence of operations, 391 shift left operation, 301 tristate (74ALS173/HC173), 718–719 Repeated division method, 59–60 Representing binary quantities, 43–45 data in HDL, 222–227 signed numbers, 363–370 using 2’s-complement, 365–366 RESET, 285 Resetting a flip-flop, defined, 261 Resolution, 820–823 ADC, 840–842 DAC, 820–821, 830 Resolution, percentage, 821–822 Retriggerable one-shot, 317 Ring counter, 522–523, 678 in circuit, 678 starting a, 522 state diagram, of, 522, 777 ROM (read-only memory), 897–899 applications, 914–916 architecture, 899 output buffers, 900–901 block diagram, 897–898 burning-in, 897 column decoder, 899 defined, 900–901 erased, 897 mask-programmed, 903–905 one-time programmable (OTP), 906 programming, 897 READ operation, the, 898 row decoder, 899 timing, 901–902 types of, 902–908 Row address strobe (RAS), 926 Run length limited (RLL) encoding, 936 Sequential circuit design, 467–475 Sequential circuits, 291 design, 467–475 in PLDs using schematic entry, 327–330 using HDL, 331–334 using schematic entry, 327–330 Sequential logic systems, troubleshooting, 526 Serial ADCs, 846 serial in/serial out, 74ALS166/74HC166, 516–518 transmission, 45–47 Serial data transfer, 298–301 between registers, 300 Sets, HDL, 410 Setting the flip-flop and resetting simultaneously, 261 latch, 261 Setup time (tS), 272–274, 287 74 TTL series, 590, 591 74AC series, 196, 609 74ACT series, 196, 609 74AHC series, 609 74AHCT series, 609 74ALB series, 616 74ALS TTL series, 591–592 74ALVC series, 615 74ALVT series, 616 74AS TTL series, 591–592 74AUC series, 615 74AVC series, 615 74C series, 196 74CBT series, 616 74CBTLV series, 616 74F-Fast TTL series, 591 74GTLP series, 616 74HC series, 196, 609 74HCT series, 196, 609 74LS TTL series, 196, 591 74LV series, 616 74LVC series, 615–616 74LVT series, 616 74S TTL series, 591 74SSTV series, 616 74 TTL series, 196 74TVC series, 616 Shift-register counters, 522–526 Shift registers, 298–301, 514–516, 516–518, parallel in/parallel out 74ALS174/74HC174, serial in/serial out 74ALS166/74HC166 left, 301 Shrink small outline package (SSOP), 580 Sigma delta modulation, (ADC), 858–860 Sigma (∑), 393 Sign bit, 363 extension, 367–368 magnitude system, 364 Signal alias, 846 contention, 205 duty cycle, 433–434 flow, 431 Signed numbers, 363–370 in sign-magnitude form, 364 SIMM, 933–934 Simple programmable logic devices (SPLDs), 964–965 Simulation of state machines, 504–505 SIPO (serial in/parallel out register), 513 SISO (serial in/serial out register), 513 SISO register, AHDL, 534–535 SISO register, VHDL, 534 Skew, clock, 325–327 S SAM (sequential-access memory), 890–891 Sample-and-hold circuits, 863–864 Sampling, 842 frequency, 845 SBD (Schottky Barrier Diode), 591 Schmitt-trigger devices, 314–316 oscillator, 319 response to slow noisy input, 315 Schottky barrier diode (SBD), 591 TTL 74S series, 591 SDRAM (synchronous DRAM), 934 Sector erase, 909 Security monitoring system, 695–697 Select inputs, (in MUXs), 682–683 Sense amplifier (in DRAM), 925 Sequential-access memory (SAM), 890–891 www.downloadslide.net 1020 INDEX Small outline integrated circuit (SOIC), 580 Small-project management (using HDL), 766–767 definition, 766, 799–800 problem decomposition, 766–767 strategic planning, 766–767 synthesis and testing, 767 system integration and testing, 767 Small-scale integration (SSI), 194, 571 SODIMM, 933 Solder bridges, 207 Special memory functions, 945–948 Spike, 441 SPLDs, 964–965 S-R latches, 262 Staircase test, of a DAC, 835 waveform, of a DAC, 820 Standard cell ASICs, 964 logic, (PLD), 964 State descriptions in AHDL, 481–482 descriptions in VHDL, 483–486 machines, 501–512 simulation of, 504–505 traffic light controller, 505–508 table, 303 transition description methods, HDL, 481 transition diagram, 304, 441 Mod-6 counter, 441 synchronous counter, 469 Static accuracy test, of a DAC, 835 Static RAM (SRAM), 919–924 actual chip (MCM6264C), 922–924 read cycle, 920–922 timing, 920 write cycle, 922 Step-size, 820–821 Stepper motor control, 472–474 driver project (HDL), 767–775 (see also HDL) universal, interface circuit, 770 Straight binary coding, 66 Strategic planning (using HDL), 766–767, 769–770 Stub Series Terminated Logic (74SSTV), 616 SUBDESIGN, 140, 224–225 Subpixels, 672 Substrate, 193 Subtraction BCD, 379 binary, 363 hexadecimal, 381–382 2’s-complement circuits, 395–398 2’s-complement system, 372–375 Subtrahend, 373 Successive-approximation ADC, 846–854 Sum bit, 385 Sum-of-products form, 158–159 Switch bilateral, 627–629 debouncing, 263 encoders, 676–679 Synchronization, flip-flop, 292–293 Synchronous control inputs, 272 counter design with D FF, 474–475 inputs, 272, 285 presetting, 450 systems, 251, 271 transfer, 296 Synchronous (parallel) counters, 436–439 actual ICs, 438–439 advantages over asynchronous, 439 design, 467–475 stepper motor control, 472–474 down and up/down, 446–448 operation, 438–439 presettable, 448–450 Synchronous data transmission system, 697–699 receiver operation, 699 system timing, 699 transmitter operation, 698–699 Synthesis and testing (using HDL), 767, 770–771 System integration and testing (using HDL), 767 T Table analysis using, 105–106 circuit excitation, 468–439, 471 J-K excitation, 468–469 look-up (LUT), 965 state, 303 Temperature-limit detector using an LM339, 636 Temporary storage, RAM, 916 Test vectors, 220 Theorems Boolean, 112–115 DeMorgan’s, 115–118 multivariable, 113–114 Thin Film Transistor (TFT) LCD, 673 Thin quad flat pack (TQFP), 580 Thin shrink small outline package (TSSOP), 580 Thin very small outline package (TVSOP), 580 3-line-to-8-line decoder, 664 TI signal switch (TS switch), 616 Tied-together inputs, TTL, 599 Time Division Multiplexing, 699–703 Timer 555 used as an astable multivibrator, 319–322 (see also Astable multivibrator) Timing diagrams, 27, 465 simplified bus, 721 Timing problems in flip-flop circuits, 289–291 Toggle mode, 278 Toggles, 42 Top-down hierarchical design (digital clock using HDL), 784–786 Totem-pole output circuit, 584–585 Tradeoffs (for nonvolatile memories), 909 Transducer, 816 Transfer data, register, 513 Transfer operation, data, 296 Transition diagram, state, 304 Transition states, 441 Translation Voltage Clamp (74TVC), 616 Transmission gate, CMOS, 627–629 Transparent latch, (D-Latch), 282–284 Trigger input, 276 Tristate data bus, 625 outputs, 622–625 registers (74ALS173/HC173), 718–719 connected to data bus, 718 Troubleshooting, case study, fault basic steps, 200–201 case study parallel binary adder/subtractor, 402–404 counters, 526–529 decoders, circuit with, 673–674 digital systems, 200–201, 637–638 (see also Digital systems) digital-to-analog converters, 834–835 divide-and-conquer, 680 fault correction, 200 dectection, 200 external IC, 206–210 isolation, 200 www.downloadslide.net 1021 INDEX finding shorted nodes, 638 flip-flop circuits, 322–327 internal IC faults, 202–206 logic pulser and probe to test a circuit, using, 638 observation/analysis, 680 open inputs, 323–324 shorted outputs, 324–325 parallel binary adder/subtractor, 402–404 prototyped circuits, 210–214 security monitoring system, 695–697 sequential logic systems, 526 synchronous data transmission system, 697–698 tools used in, 201, 637–638 tree diagram, 705 Truth tables, 92–93 using AHDL, 228–229 using HDL, 227–230 using VHDL, 229–230 TTL logic family, 34, 195–196, 581–586 active pull-up action, 585 ALS series, 196 AS series, 196 biasing inputs LOW, 600 characteristics, 589–593 circuit operation-HIGH state, 582 circuit operation-LOW state, 581–582 comparison of series characteristics, 592 current ratings, 596 current transients, TTL, 601–602 current-sinking action, TTL, 584 data sheets, 586–589 defined, 195 fan-out, 593–598 fast series (74F), 591–592 ground, 196–197 input voltages, 610 interfacing V and CMOS, 631 INVERTER circuit, 195 loading, 593–598 logic-level voltage ranges, 197 low-power Schottky 74LS series (LS-TTL), 591 LS series, 196 maximum voltage ratings, 588 NAND gate, basic, 581–582 NOR gate, basic, 586 open-collector outputs, 617–622 other characteristics, 598–602 output voltages, 610 power, 196–197 power dissipation, 588 propagation delays, 588 S series, 196 Schottky 74S series, 591 series characteristics, 592–593 standard 74 series, 592 subfamilies, 195, 589–593 summary, 585 supply (power) voltage, 196–197, 587 temperature range, 587 tied-together inputs, 599 totem-pole output circuit, 581, 585 tristate, 622–625 Twisted-ring counters, 524 unconnected inputs (floating), 197–198, 598 unused inputs, 598–599 voltage levels, 587–588 Two-input multiplexer, basic, 683–684 2’s-complement addition, 371–372 circuits, 395–398 addition, 395–398 subtraction, 395–398 form, 364 special case representation, 368–370 subtraction, 372–375 system, 364 addition and subtraction, combined, 397–398 multiplication, 375–376 Types of computers, 49–50 embedded controller, 50 microcomputer, 49 microcontroller, 49 microprocessor, 49 Types of LCDs, 671–673 Typical ADC architectures for applications, 862–863 Typical CMOS flash memory IC, 910–911 U Unasserted levels, 130 Unconnected inputs CMOS, 197–198, 613 TTL, 197–198, 598–599 Unipolar digital ICs, 194–195 (see also CMOS logic family) Universal programmers, 217 Universal shift register, AHDL,VHDL, 537–538 Universality of NAND gates and NOR gates, 119–122 Usefulness of hex, 64–65 UV light, EPROMs, 907 V VERSA Module Eurocard (74VME), 616 VHDL, 135–136, 486–487, n-bit adder/subtractor 416–417 adder/subtractor, 416–417 ARCHITECTURE, 141, 225, 496, 499 BCD-to-binary code converter, 743 BEGIN, 141, 483, 486 behavioral description of a counter in, 486 BIT, 141, 226, 338 BIT_VECTOR declarations, 225–227, 229, 410, 412, 486, 495 Boolean description using, 140 BUFFER, 495 cascading BCD counters, 497–498 CASE, 238, 483–484, 494, 504, 508, 511, 538, 779 code converter, 743 comments, 143–144 comparator, 743 complete clock, 797 COMPONENT(s), 336–338, 495, 500, 508, 796 conditional signal assignment statement, 735 declaration, 337 graphic representation using, 337 HDL circuits with multiple, 340–343 library, 336–338 concurrent assignment statement, 140 CONSTANT, 416 converter, 743 D latch, 334 data types, common, 226 decoding the MOD-5 counter, 494–495 decoder(s), 728 drivers, 730–731 full-step sequence, 771 demultiplexers, 738–739 design file, 229 digital clock project, 781–798 (see also HDL) DOWNTO, 410, 779 driver, 730–732 eight-bit adder, 412–413 ELSIF, 235–237 encoder, 735–736 END, 141, 484 ENTITY, 141, 225, 229, 342, 483, 494–495, 499–500 enumerated type, 504 www.downloadslide.net 1022 INDEX VHDL (continued) essential elements in, 141 EVENT, 339, 342, 484 flip-flops, 338–340 J-K flip-flop, 338–340 MOD-8 ripple counter, 341–342 simulation, 339 frequency counter project, 805–809 full-featured counter, 489–490 full-step sequence, 771 IF/THEN/ELSE, 233–234, 504, 511, 733, 741 IN, 225 INTEGER, 226, 233, 412, 486, 489, 732–733 intermediate signals in, 144 J-K flip-flop, 338–340 simulation, 339 keypad encoder project (HDL), 775–781 (see also HDL) simulation, 781 solution, 779–781 libraries, 226, 735 components, 336–338 of parameterized modules, 327 local signals, 143–144 LPMs, 328 macrofunctions, 226 magnitude comparator, 741 MAP, 495, 500, 508 maxplus2 functions, 226 MOD-5 counter, 484 MOD-6 counter, 786, 796 graphic block symbols, 793 simulation, 788 MOD-8 counter, 770 simulation, 770 MOD-10 counter, 788–789, 796 MOD-12 counter, 791–794 graphic block symbols, 794 simulation, 793 MOD-60 counter, 796 MOD-100 BCD counter, 499–500 module integration, 796–798 multiplexers, 738–739 NAND latch, 333 nonretriggerable one-shot, 543–548 objects, 226 one-shots, simple, 543–545 PACKAGE, 416 PISO register, 536–538 PORT, 141 MAP, 338, 343 PROCESS, 234, 338, 342, 483–484, 486, 489–490, 494, 511, 538, 546, 732, 741, 779, 792 RANGE, 233, 486 retriggerable, edge-triggered one-shot, 546–548 ripple-up counter (MOD-8), 341–343 SELECT, 229 sensitivity list, 234 shift register, universal, 537–538 SIGNAL, 143–144, 229–230, 339, 342, 410, 483, 730, 735, 792 simulation, 543–544, 547–548 simulation of full-featured counter, 489–491 simulation testing, 774 SISO register, 534 state descriptions in, 483–486 state machine, simple, 503 STD_LOGIC, 226, 337 STD_LOGIC_VECTOR, 226 stepper driver, 773 stepper motor driver project, 767–775 traffic light controller, 508–511 truth tables, 229–230 concatenating, 229–230 selected signal assignments, 229–230 TYPE, 503 values, table, 229 VARIABLE, 339, 483, 546, 730, 735, 792 WHEN, 728 WITH, 229 VHDL (very-high-speed integrated circuit hardware description language), 135–136 VLSI (very-large scale integration), 194, 571 Volatile memory, 890–891 Voltage comparators, 634–636 controlled oscillator, linear (VCO), 858 level translator, 634 levels, invalid, 576–577 parameters for digital ICs, 572–573 to frequency ADC, 858 W Wave-drive sequence (HDL stepper-motor), 768 Wired-AND connection, 619–620 Word, 72–73 size, 73 Write cycle, 313 Write cycle address setup time, 922 data hold time, 922 data setup time, 922 time, 922 Write enable (WE) input, 893–894 Write operation CPU, 896 defined, 890 RAM, 918 Z Zero count, 42 Zero insertion force socket (ZIF), 216–217 www.downloadslide.net 1023 BOOLEAN THEOREMS x·15x x105x x1151 x1x51 x1y5y1x x·050 x·x50 x1x5x 10 13a 15a 17 x·y5y·x x (y z) (x y) z x y z 11 x(y z) xy xz 13b x xy x y 15b (w x) (y z) wy xy wz xz x xy x y x·x5x 12 x(yz) (xy)z xyz 14 x xy x 16 x1y5xy xy x y LOGIC GATE TRUTH TABLES A 0 1 OR NOR A1B A1B 0 1 B 1 AND NAND XOR A · B A · B A!B 0 1 1 1 0 XNOR A!B 0 LOGIC GATE SYMBOLS x5A1B A B x5A1B A B OR Gate NOR Gate x AB A A x AB B B AND Gate NAND Gate x5A!B AB AB A B x A ! B AB AB A B XOR XNOR www.downloadslide.net 1024 FLIP-FLOPS NOR Latch SET Q Normally low S Q R Q S 1 R 0 1 Q No change Q51 Q50 Invalid S 1 R 0 1 Q Invalid Q50 Q51 No change (Alternate symbol) Q RESET NAND Latch SET Q Normally high S Q R Q (Alternate symbol) Q RESET Clocked S-R CLK R Q c Clocked J-K CLK K Q c Clocked D D CLK Asynchronous Inputs c c CLK c c c c D Q EN 1 Q Q Q Q0 (no change) Ambiguous Q Q0 (no change) Q (toggles) of CLK has no effect on Q c EN c K 0 1 Q D Latch D c of CLK has no effect on Q J 1 Q J CLK R 0 1 S 1 Q S CLK c c Q of CLK has no effect on Q D X Q* No change *Q follows D input while EN is HIGH PRE Q J CLK K Q PRE 1 0 CLR 1 Q* No effect; FF can respond to J, K and CLK Q = independent of J, K, CLK Q = independent of J, K, CLK Ambiguous (not used) *CLK can be in any state CLR ... techniques of modern digital systems It teaches the fundamental principles of digital systems and covers thoroughly both traditional and modern methods of applying digital design and development techniques,... outline 1-1 Introduction to Digital 1s and 0s Digital Signals Logic Circuits and Evolving Technology Numerical Representations Digital and Analog Systems Digital Number Systems 1-2 1-3 1-4 1-5 1-6... wife and best friend, Kris, who has sacrificed the most to complete this work To our children John and Brooke, Brad and Amber, Blake and Tashi, Matt and Tamara, Katie and Matthew, and to our grandchildren

Ngày đăng: 16/08/2018, 16:29

Từ khóa liên quan

Mục lục

  • Cover

  • Title Page

  • Copyright Page

  • Preface

  • Contents

  • Chapter 1 Introductory Concepts

    • 1-1 Introduction to Digital 1s and 0s

    • 1-2 Digital Signals

      • Need for Timing

      • Highs and Lows Over Time

      • Periodic/Aperiodic

      • Period/Frequency

      • Duty Cycle

      • Transitions

      • Edges/Events

      • 1-3 Logic Circuits and Evolving Technology

        • Logic Circuits

        • Digital Integrated Circuits

        • 1-4 Numerical Representations

          • Analog Representations

          • Digital Representations

          • 1-5 Digital and Analog Systems

            • Advantages of Digital Techniques

            • Limitations of Digital Techniques

            • 1-6 Digital Number Systems

              • Decimal System

Tài liệu cùng người dùng

Tài liệu liên quan