vidieukhien và ứng dụng mạch đồng hồ

46 171 0
vidieukhien và ứng dụng mạch đồng hồ

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

giới thiệu cho bạn biết được môn vi điểu khiển ngoài ra còn ứng dụng mạch đồng hồ và có code asm rất dể hiểu có phần chú thích thêm để giúp các bạn dễ hiểu hơn nhiều

GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch CHƯƠNG I TỔNG QUAN .1 I.GIỚI THIỆU: .1 II GIỚI HẠN ĐỀ TÀI: CHƯƠNG II KHẢO SÁT VI ĐIỀU KHIỂN 8951 I GIỚI THIỆU CẤU TRÚC PHẦN CỨNG HỌ MCS-51 (8951): .3 Giới thiệu họ MCS-51: Các đặc điểm 8951 tóm tắt sau: Sơ đồ khối AT89C51: Các ghi có vi điều khiển bao gồm : Sơ đồ chân IC 89C51 : 4.chức IC 8951 4.1 Các Port: 4.2 Các ngõ tín hiệu điều khiển: II CẤU TRÚC BÊN TRONG VI ĐIỀU KHIỂN : .9 1.Tổ chức nhớ: Các ghi có chức đặc biệt: 13 Bộ nhớ (external memory): 18 3.1Truy xuất nhớ mã (Acessing External Code Memory): 18 3.2.Truy xuất nhớ liệu (Accessing External Data Memory): 19 3.3.Sự giải mã địa (Address Decoding): 20 3.4.Hoạt động Reset: 21 III KHẢO SÁT TẬP LỆNH CỦA VDK 8951: 22 Các lệnh dịch chuyển liệu: .22 Các lệnh số học (Arithmetic Intrustion): 27 3.Nhóm lệnh logic (Logic Operation): .28 Nhóm lệnh chuyển quyền điều khiển: 30 Các lệnh luận lý ( Boolean Instruction): 32 IV TÌM HIỂU VỀ LED ĐOẠN: 33 CHƯƠNG III THIẾT KẾ MẠCH ĐỒNG HỒ: .35 Mạch: 35 Lưu đồ: .36 Chương trình: 37 CHƯƠNG V KẾT LUẬN HƯỚNG PHÁT TRIỂN 41 I KẾT LUẬN 41 III HƯỚNG PHÁT TRIỂN 44 SVTH:Cao Nghĩa,Đình HUY I GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch CHƯƠNG I TỔNG QUAN I.GIỚI THIỆU: Ngày kỹ thuật vi điều khiển trở nên quen thuộc ngành kỹ thuật dân dụng Từ dây chuyền sản xuất lớn đến thiết bị gia dụng, thấy diện vi điều khiển Các vi điều khiển có khả xử lý nhiều hoạt động phức tạp mà cần vi mạch nhỏ, thay tủ điều khiển lớn phức tạp mạch điện gọn nhẹ, dễ dàng thao tác sử dụng Vi điều khiển góp phần vào kỹ thuật điều khiển mà góp phần to lớn vào việc phát triển thơng tin Đó đời hàng loạt thiết bị đại ngành viễn thơng, truyền hình, đặc biệt đời mạng Internet góp phần đưa người đến đỉnh cao văn minh nhân loại Chính lý nên việc tìm hiểu, khảo sát vi điều khiển điều mà sinh viên ngành điện mà đặc biệt chuyên ngành kỹ thuật điện-điện tử phải quan tâm Đó nhu cầu cần thiết cấp bách sinh viên, đề tài thực đáp ứng nhu cầu Các điều khiển sử dụng vi điều khiển đơn giản để vận hành sử dụng lại điều phức tạp Phần công việc xử lý phụ thuộc vào người, chương trình hay phần mềm Tuy thấy máy tính ngày thơng minh, giải toán phức tạp vài phần triệu giây, dựa hiểu biết người Nếu khơng có tham gia người hệ thống vi điều khiển vật vơ tri Do nói đến vi điều khiển giống máy tính bao gồm phần phần cứng phần mềm SVTH:Cao Nghĩa,Đình HUY Trang1 GVHD: Trần Trọng Hiếu Đồ Án Mơn Học Vi Mạch Các vi điều khiển theo thời gian với phát triển công nghệ bán dẫn tiến triển nhanh, từ vi điều khiển Bit đơn giản đến vi điều khiển 32 Bit Với công nghệ tiên tiến ngày máy tính đến việc suy nghĩ, tri thức thơng tin đưa vào, máy tính thuộc hệ trí tuệ nhân tạo Mặc dù vi điều khiển bước dài để tiếp cận với kỹ thuật khơng thể việc có sớm chiều Việc hiểu chế hoạt động vi điều khiển Bit sở để tìm hiểu sử dụng vi điều khiển tối tân hơn, bước muốn xâm nhập sâu vào lĩnh vực Một vài năm gần đồng hồ số Việt Nam ngày phát triển mạnh mẽ, vấn đề đóng vai trò quan trọng phát triển sống thời đại người ta sử dụng đồng hồ số tranh trang trí đồng hồ lớn có hiển thị thời gian taị nơi cơng cộng hay quan xí nghiệp…Nó tốt đem lại nhiều lợi ích to lớn Cũng người ưa chuộng vừa đẹp tiện lợi ngồi đơn giản, đại, bắt mắt, chi phí hợp lý tính hiệu nó.Với lại với màu sắc sặc sỡ, bắt mắt, gây nhiều ý hẳn khơng xa lạ người dân Để đồng hồ hiển thị thời gian, ngày tháng năm nhiệt độ… thêm rực rỡ thu hút ý người ta sử dụng phương pháp lập trình dùng vi xử lý, để điều khiển tạo hiển thị đẹp mắt II GIỚI HẠN ĐỀ TÀI: Do thời gian nghiên cứu thực đề tài có hạn việc tìm hiểu vi điều khiển hạn chế nên nội dung đề tài xoay quanh phạm vi sau:  Khảo sát vi điều khiển 89C51  Thiết kế mạch đồng hồ: SVTH:Cao Nghĩa,Đình HUY Trang2 GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch  thực nghiệm: CHƯƠNG II KHẢO SÁT VI ĐIỀU KHIỂN 8951 I GIỚI THIỆU CẤU TRÚC PHẦN CỨNG HỌ MCS-51 (8951): Giới thiệu họ MCS-51: MCS-51 họ IC vi điều khiển hãng Intel sản xuất Các IC tiêu biểu cho họ 8051 8031 Các sản phẩm MCS-51 thích hợp cho ứng dụng điều khiển Việc xử lý Byte toán số học cấu trúc liệu nhỏ thực nhiều chế độ truy xuất liệu nhanh RAM nội Tập lệnh cung cấp bảng tiện dụng lệnh số học Bit gồm lệnh nhân lệnh chia Nó cung cấp hổ trợ mở rộng Chip dùng cho biến Bit kiểu liệu riêng biệt cho phép quản lý kiểm tra Bit trực tiếp điều khiển hệ thống logic đòi hỏi xử lý luận lý AT89C51 cung cấp đặc tính chuẩn sau: KB nhớ đọc xóa lập trình nhanh (EPROM), 128 Byte RAM, 32 đường I/O, TIMER/COUNTER 16 Bit, vectơ ngắt có cấu trúc mức ngắt, Port nối tiếp bán song công, mạch dao động tạo xung Clock dao động ON-CHIP Thêm vào đó, AT89C51 thiết kế với logic tĩnh cho hoạt động đến mức không tần số hỗ trợ hai phần mềm lựa chọn chế độ tiết kiệm công suất, chế độ chờ (IDLE MODE) dừng CPU cho phép RAM, timer/counter, port nối tiếp hệ thống ngắt tiếp tục hoạt động Chế độ giảm cơng suất lưu nội SVTH:Cao Nghĩa,Đình HUY Trang3 GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch dung RAM treo dao động làm khả hoạt động tất chức khác Reset hệ thống Các đặc điểm 8951 tóm tắt sau:  KB nhớ lập trình lại nhanh, có khả tới 1000 chu kỳ ghi xoá  Tần số hoạt động từ: 0Hz đến 24 MHz  mức khóa nhớ lập trình  Timer/counter 16 Bit  128 Byte RAM nội  Port xuất /nhập I/O bit  Giao tiếp nối tiếp  64 KB vùng nhớ mã  64 KB vùng nhớ liệu ngoại  Xử lý Boolean (hoạt động bit đơn)  210 vị trí nhớ định vị bit  μs cho hoạt động nhân chia SVTH:Cao Nghĩa,Đình HUY Trang4 GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch Sơ đồ khối AT89C51: INT1\ INT0\ SERIAL PORT TEMER0 TEMER1 TEMER2 8032\8052 128 byte RAM 8032\8052 INTERRUPT CONTROL OTHER REGISTER 128 byte RAM ROM 0K: 8031\8032 4K:8951 8K:8052 TEMER2 8032\8052 TEMER1 TEMER1 CPU BUS CONTROL I/O PORT SERIAL PORT OSCILATOR ALE\ PSEN\ EA\ RST P0 P P P Address\Data TXD RXD Hình 2.1 Sơ đồ khối 89C51 Các ghi có vi điều khiển bao gồm :  Khối ALU kèm với ghi temp 1,temp ghi trạng thái PSM  Bộ điều khiển logic  Vùng nhớ RAM vùng nhớ Flash Rom lưu trữ chương trình  Mạch tạo dao động SVTH:Cao Nghĩa,Đình HUY Trang5 GVHD: Trần Trọng Hiếu Đồ Án Mơn Học Vi Mạch  Khối xử lý ngắt,truyền liệu,khối Time/Counter  Thanh ghi A,B,dptr port có chốt đệm  Thanh ghi đếm chương trình PC  Con trỏ liệu dptr  Thanh ghi trỏ ngăn xếp SP  Thanh ghi lệnh IR  Các ghi hỗ trợ để quản lý địa nhớ bên bên Sơ đồ chân IC 89C51 : Vcc 40 XTAL.1 19 12 MHz XTAL.2 18 29 PSEN\ 30 ALE 31 EA\ RD WR T1 T0 INT1 INT0 TXD RXD 17 16 15 14 13 12 11 10 RST P3.7 P3.6 P3.5 P3.4 P3.3 P3.2 P3.1 P3.0 Hình 2.2 SVTH:Cao Nghĩa,Đình HUY 8951 P0 P0 P0 P0 P0 P0 P0 P0 P1 P1 P1 P1 Vss 20 P1 Sơ đồ chân P1 IC P1 P1 P2 P2 P2 P2 P2 P2 P2 89C51 Trang6 P2 GVHD: Trần Trọng Hiếu Đồ Án Mơn Học Vi Mạch 4.chức IC 8951 At89C51 có tất 40 chân có chức đường xuất nhập Trong có 24 chân có tác dụng kép (có nghĩa chân có chức năng), đường hoạt động đường xuất nhập đường điều khiển thành phần bus liệu bus địa 4.1 Các Port:  Port 0: - Port port có chức chân 32 – 39 8951 Trong thiết kế cỡ nhỏ không dùng nhớ mở rộng có chức đường IO Đối với thiết kế cỡ lớn có nhớ mở rộng, kết hợp bus địa bus liệu  Port 1: - Port port IO chân 1-8 Các chân ký hiệu P1.0, P1.1, P1.2,…có thề dùng cho giao tiếp với thiết bị ngồi cần Port khơng có chức khác, chúng dùng cho giao tiếp ngoại vi  Port 2: - Port port có tác dụng kép chân 21- 28 dùng đường xuất nhập byte cao bus địa thiết bị dùng nhớ mở rộng  Port 3: - Port port có tác dụng kép chân 10-17 Các chân port có nhiều chức năng, cơng dụng chuyển đổi có liên hệ với đặc tính đặc biệt 8951 bảng sau: SVTH:Cao Nghĩa,Đình HUY Trang7 GVHD: Trần Trọng Hiếu Bit P3.0 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3.7 Tên RXT TXD INT0\ INT1\ T0 T1 WR\ RD\ Đồ Án Môn Học Vi Mạch Chức chuyển đổi Ngõ xuất liệu nối tiếp Ngõ xuất liệu nối tiếp Ngõ vào ngắt cứng thứ Ngõ vào ngắt cứng thứ Ngõ vào củaTIMER/COUNTER thứ Ngõ vào củaTIMER/COUNTER thứ Tín hiệu điều khiển ghi liệu lên nhớ ngồi Tín hiệu điều khiển đọc nhớ liệu ngồi 4.2 Các ngõ tín hiệu điều khiển:  Ngõ tín hiệu PSEN (Program store enable): - PSEN tín hiệu ngõ chân 29 có tác dụng cho phép đọc nhớ chương trình mở rộng thường nói đến chân 0E\ (output enable) Eprom cho phép đọc byte mã lệnh - PSEN mức thấp thời gian vi điều khiển 8951 lấy lệnh Các mã lệnh chương trình đọc từ Eprom qua bus liệu chốt vào ghi lệnh bên 8951 để giải mã lệnh Khi 8951 thi hành chương trình ROM nội PSEN mức logic  Ngõ tín hiệu điều khiển ALE (Address Latch Enable): - Khi 8951 truy xuất nhớ bên ngồi, port có chức bus địa bus liệu phải tách đường liệu địa Tín hiệu ALE chân thứ 30 dùng làm tín hiệu điều khiển để giải đa hợp đường địa liệu kết nối chúng với IC chốt SVTH:Cao Nghĩa,Đình HUY Trang8 GVHD: Trần Trọng Hiếu Đồ Án Mơn Học Vi Mạch - Tín hiệu chân ALE xung khoảng thời gian port đóng vai trò địa thấp nên chốt địa hồn tồn tự động Các xung tín hiệu ALE có tốc độ 1/6 lần tần số dao động chip dùng làm tín hiệu clock cho phần khác hệ thống Chân ALE dùng làm ngõ vào xung lập trình cho Eprom 8951  Ngõ tín hiệu EA\(External Access) : - Tín hiệu vào EA\ chân 31 thường mắt lên mức mức Nếu mức 1, 8951 thi hành chương trình từ ROM nội khoảng địa thấp Kbyte Nếu mức 0, 8951 thi hành chương trình từ nhớ mở rộng Chân EA\ lấy làm chân cấp nguồn 21V lập trình cho Eprom 8951  Ngõ tín hiệu RST (Reset): - Ngõ vào RST chân ngõ vào Reset 8951 Khi ngõ vào tín hiệu đưa lên cao chu kỳ máy, ghi bên nạp giá trị thích hợp để khởi động hệ thống Khi cấp điện mạch tự động Reset  Các ngõ vào giao động X1,X2: - Bộ dao động được tích hợp bêntrong 8951, sử dụng 8951 người thiết kế cần kết nối thêm thạch anh tụ hình vẽ sơ đồ Tần số thạch anh thường sử dụng cho 8951 12Mhz  Chân 40 (Vcc) nối lên nguồn 5V II CẤU TRÚC BÊN TRONG VI ĐIỀU KHIỂN : 1.Tổ chức nhớ: FF ON-CHIP Memory 0FFF CODE Memory SVTH:Cao Nghĩa,Đình HUY FFFF FFFF CODE Memory Enable via PSEN DATA Memory Enable via Trang9 RD & WR GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch trình khơng cách lệnh gọi q 2Kbyte Addr11 thay nhãn (tên chương trình con) LCALL addr16 : Lệnh gọi chương trình địa addr16 Chương trình khơng cách lệnh gọi 64Kbyte Addr16 thay nhãn (tên chương trình con) RET : Kết thúc chương trình trở chương trình RETI : Kết thúc thủ tục phục vụ ngắt quay chương trình Chính hoạt động tương tự RET AJMP Addr11 : lệnh nhảy đến địa addr11 để thực lệnh Addr11 thay nhãn (tên chương trình con) Địa nhảy đến khơng q Kbyte LJMP Addr16: Lệnh nhảy đến địa addr16 để thực lệnh Nơi nhảy đến tùy ý vùng 64 Kb SJMP rel : Nhảy ngắn không điều kiện 128 byte tới lùi JMP @ A + DPTR: Nhảy không điều kiện đến địa nội dung A cộng với DPTR JZ rel : Lệnh nhảy đến thực chương trình địa rel Z = JNZ rel : Lệnh nhảy đến thực chương trình địa rel Z = JC rel : Lệnh nhảy đến thực chương trình địa rel C = JNC rel : Lệnh nhảy đến thực chương trình địa rel C = CJNE A, direct, rel : So sánh nhảy, nội dung ghi A khác nội dung ô nhớ có địa direct nhảy thực lệnh địa rel Nếu khơng nhảy SVTH:Cao Nghĩa,Đình HUY Trang31 GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch CJNE A, # data, rel : Tương tự lệnh CJNE A, direct, rel CJNE Rn, # data, rel : Tương tự lệnh CJNE A, direct, rel CJNE @ Ri, # data, rel : Tương tự lệnh CJNE A, direct, rel DJNZ Rn, rel : Giảm Rn nhảy đến rel Rn khác DJNZ direct, rel : Tương tự lệnh DJNZ Rn, rel Các lệnh luận lý ( Boolean Instruction): 8951 chứa xử lí luận lý đầy đủ cho hoạt động bit đơn, điểm mạnh họ vi điều kiển MCS-51 mà họ vi điều khiển khác khơng có RAM nội chứa 128 bit đơn vị vùng nhớ ghi chức đặc biệt cấp lên đến 128 đơn vị khác Tất đường Port bit định vị, đường xử lí Port đơn vị riêng biệt Cách truy xuất bit không lệnh rẽ nhánh không, mà danh mục đầy đủ lệnh MOVE, SET, CLEAR, COMPLEMENT, OR, AND Toàn truy xuất bit dùng định vị trực tiếp với địa từ 00H - 7FH 128 vùng nhớ thấp 80H - FFh vùng ghi chức đặc biệt Bit Carry C ghi PSW\ từ trạng thái chương trình dùng tích lũy đơn xử lí luận lý Bit Carry bit định vị có địa trực tiếp nằm PSW Hai lệnh CLR C CLR CY có tác dụng xóa bit cờ Carry lệnh byte lệnh sau byte Hoạt động lệnh luận lý tóm tắt sau: CLR C : Xóa cờ Carry xuống Có ảnh hưởng cờ Carry CLR BIT : Xóa bit xuống Khơng ảnh hưởng cờ Carry SETB C : Set cờ Carry lên Có ảnh hưởng cờ Carry SETB BIT : Set bit lên Không ảnh hưởng cờ Carry CLP C : Đảo bit cờ Carry Có ảnh hưởng cờ Carry SVTH:Cao Nghĩa,Đình HUY Trang32 GVHD: Trần Trọng Hiếu CPL BIT Đồ Án Môn Học Vi Mạch : Đảo bit Không ảnh hưởng cờ Carry ANL C, BIT : (C) (C) AND (BIT): Có ảnh hưởng cờ Carry ANL C, / BIT : (C) (C) AND NOT (BIT): Không ảnh hưởng cờ Carry ORL C, BIT : (C) (C) OR (BIT): Tác động cờ Carry ORL C, / BIT : (C) (C) OR NOT (BIT): Tác động cờ Carry MOV C, BIT : (C) (BIT) : Cờ Carry bị tác động MOV BIT, C : (BIT) (C) : Không ảnh hưởng cờ Carry IV TÌM HIỂU VỀ LED ĐOẠN: Hình 2.9 led đoạn SVTH:Cao Nghĩa,Đình HUY Trang33 GVHD: Trần Trọng Hiếu Đồ Án Mơn Học Vi Mạch Led đoạn có cấu tạo bao gồm led đơn có dạng xếp theo hình bên có thêm led đơn hình tròn nhỏ thể dấu chấm tròn góc dưới, bên phải led đoạn led đơn led đoạn có Anode(cực +) Cathode(cực -) nối chung với vào điểm, đưa chân để kết nối với mạch điện Trên cấu tạo LED đoạn loại common cathod (cực âm chung) Còn loại common anod (cực dương chung) sơ đồ tương tự Còn ngun lý hoạt động giống LED Cấp nguồn cho chân đoạn tương ứng với chân sáng Chúng ta sử dụng chân cổng VĐK AT89C51 để điều khiển cực led đoạn có cách khác để hiển thị :người ta quét cho sáng thời gian ngắn, cho cảm giác sáng tất led, vừa tiết kiệm điện chân port cho VĐK SVTH:Cao Nghĩa,Đình HUY Trang34 GVHD: Trần Trọng Hiếu Đồ Án Mơn Học Vi Mạch CHƯƠNG III THIẾT KẾ MẠCH ĐỒNG HỒ: Mạch: +5v RP1 RESPACK-8 U2:A 19 18 29 30 31 74HC04 U2:B U1 XTAL1 XTAL2 RST P0.0/AD0 P0.1/AD1 P0.2/AD2 P0.3/AD3 P0.4/AD4 P0.5/AD5 P0.6/AD6 P0.7/AD7 P2.0/A8 P2.1/A9 P2.2/A10 P2.3/A11 P2.4/A12 P2.5/A13 P2.6/A14 P2.7/A15 PSEN ALE EA P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 P3.0/RXD P3.1/TXD P3.2/INT0 P3.3/INT1 P3.4/T0 P3.5/T1 P3.6/WR P3.7/RD 39 38 37 36 35 34 33 32 74HC04 U2:C 74HC04 U2:D 21 22 23 24 25 26 27 28 13 12 74HC04 U2:E 11 10 11 12 13 14 15 16 17 SW1 10 74HC04 U2:F SW-SPST-MOM SW2 74HC04 SW-SPST-MOM U3:A 80C51 74HC04 U3:B 74HC04 Hình 2.10 mạch đồng hồ Bộ hiển thị (led đoạn): +5v RP1 RESPACK-8 U2:A 19 18 29 30 31 XTAL1 XTAL2 RST PSEN ALE EA P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 74HC04 U2:B U1 P0.0/AD0 P0.1/AD1 P0.2/AD2 P0.3/AD3 P0.4/AD4 P0.5/AD5 P0.6/AD6 P0.7/AD7 P2.0/A8 P2.1/A9 P2.2/A10 P2.3/A11 P2.4/A12 P2.5/A13 P2.6/A14 P2.7/A15 P3.0/RXD P3.1/TXD P3.2/INT0 P3.3/INT1 P3.4/T0 P3.5/T1 P3.6/WR P3.7/RD 39 38 37 36 35 34 33 32 U2:C 74HC04 U2:D 21 22 23 24 25 26 27 28 10 11 12 13 14 15 16 17 74HC04 13 12 74HC04 U2:E 11 SW1 SW-SPST-MOM SW2 10 74HC04 U2:F 74HC04 SW-SPST-MOM U3:A 80C51 74HC04 U3:B 74HC04 SVTH:Cao Nghĩa,Đình HUY Trang35 GVHD: Trần Trọng Hiếu Đồ Án Mơn Học Vi Mạch Hình 2.11 hiển thị dồng hồ Lưu đồ: Begin Khởi tạo vùng nhớ lưu trữ mã đoạn từ Khởi tạo vùng nhớ lưu biến đếm hàng chục, đơn vị của, giờ, phút, giây = 00 Giã mã hàng chục đơn vị giờ, phút, giây (từ mã nhị phân sang đoạn) Delay hiển thị 1s SVTH:Cao Nghĩa,Đình HUY Tăng biến đếm hàng chục,đơn vị giây - so sánh với 60 Tăng biến đếm hàng chục,đơn vị phút - so sánh với 60 Tăng biến đếm hàng chục,đơn vị - so sánh với 24 end Trang36 GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch Chương trình: xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxx ; chuong trinh hien thi gio-phut-giay dung timer0 dem chinh xac thoi gian 1s ; p0 xuat du lieu doan ; p2quet led ; xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxx ORG LJMP MAIN ORG 0003H LJMP NGAT0 org ljmp 0013h ngat1 Org 100 Main: SETB setb IT1 MOV IT0 IE,#10000101B Mov dptr, #ma7doan Mov tmod, #01h clr tf0 ;giay equ 20h ;chucgiay equ 21h ;phut equ 22h ;chucphut equ 23h Mov Mov Mov Mov 20h,#00h ; bien luu donvi giay 21h,#00h ; bien luu chuc giay 22h,#00h ; bien luu don vi phut 23h, #00h ; bien luu chuc phut SVTH:Cao Nghĩa,Đình HUY Trang37 GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch Mov 24h,#00h ; bien luu don vi gio Mov 25h, #00h ; bien luu chuc gio Mov 32h, #00h gio: Mov 31h, #00h ; bien dem phut phut: mov 30h, #00h ; bien dem giay giay: lcall giai_ma lcall delay_hienthi Inc 30h ; dem tang giay va so sanh Mov a,30h Cjne a,#60,giay inc 31h ; dem tang phut va so sanh mov a,31h cjne a,#60,phut inc 32h ; dem tang gio va so sanh mov a,32h cjne a,#24,gio sjmp main ngat0: mov ie,#80h inc 31h mov a,31h cjne a,#60,kip1 mov a,#00 kip1: mov 31h,a mov ie,#085H reti ngat1: inc mov ie,#80h 32h SVTH:Cao Nghĩa,Đình HUY Trang38 GVHD: Trần Trọng Hiếu Đồ Án Mơn Học Vi Mạch mov a,32h cjne a,#24,kip mov a,#00 kip: mov 31h,a mov ie,#085H reti giai_ma: Mov a, 30h Mov b, #10 Div ab Movc a, Mov 21h, a @a+dptr ; luu so hang chuc giay Mov a, b Movc a, Mov 20h, a @a+dptr ; luu so hang don vi giay Mov a, 31h Mov b, #10 Div ab Movc a, Mov 23h, a Mov a, Movc a, Mov 22h, a @a+dptr ; luu so hang chuc phut b @a+dptr ; luu so hang don vi phut Mov a, 32h Mov b, #10 Div ab Movc a, Mov 24h, a Mov a, @a+dptr ; luu so hang chuc gio b SVTH:Cao Nghĩa,Đình HUY Trang39 GVHD: Trần Trọng Hiếu Movc a, Mov 25h, a Đồ Án Môn Học Vi Mạch @a+dptr ; luu so hang don vi gio Ret delay_hienthi: mov r6,#20 lap20: mov th0,#high(-50000) ; chuong trinh delay 1ms mov tl0,#low(-50000) setb tr0 clr tf0 lap1:lcall hienthi jnb tf0,lap1 djnz r6,lap20 ret hienthi: mov p0,20h ; doan chuong trinh hien thi dv giay mov p2,#01111111b lcall delay mov p2,#0ffh mov p0,21h ;doan chuong trinh hien thi chuc giay mov p2,#10111111b lcall delay mov p2,#0ffh mov p0,22h ; doan chuong trinh hien thi dv phut mov p2,#11101111b lcall delay mov p1,#0ffh mov p0,23h ; doan chuong trinh hien thi chuc phut mov p2,#11110111b lcall delay mov p2,#0ffh SVTH:Cao Nghĩa,Đình HUY Trang40 GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch mov p0,24h ; doan chuong trinh hien thi dv gio mov p2,#11111110b lcall delay mov p2,#0ffh mov p0,25h ;doan chuong trinh hien thi chuc gio mov p2,#11111101b lcall delay mov p2,#0ffh ret ma7doan:DB 0C0H, 0F9H, 0A4H, 0B0H, 99H, 92H, 82H, 0F8H, 80H, 90H delay: Mov r0, #0fh Djnz r0,$ Ret end CHƯƠNG V KẾT LUẬN HƯỚNG PHÁT TRIỂN I KẾT LUẬN Sau thực việc ta mạch điều khiển,kết nối với hiển thị led đoạn mạch đồng hồ số hoàn chỉnh chạy theo chương trình nạp vào vi điều khiển: Mạch hoạt động Mô Phỏng: SVTH:Cao Nghĩa,Đình HUY Trang41 GVHD: Trần Trọng Hiếu Đồ Án Mơn Học Vi Mạch +5v RP1 RESPACK-8 U2:A 19 18 29 30 31 XTAL1 XTAL2 RST PSEN ALE EA P1.0 P1.1 P1.2 P1.3 P1.4 P1.5 P1.6 P1.7 74HC04 U2:B U1 P0.0/AD0 P0.1/AD1 P0.2/AD2 P0.3/AD3 P0.4/AD4 P0.5/AD5 P0.6/AD6 P0.7/AD7 P2.0/A8 P2.1/A9 P2.2/A10 P2.3/A11 P2.4/A12 P2.5/A13 P2.6/A14 P2.7/A15 P3.0/RXD P3.1/TXD P3.2/INT0 P3.3/INT1 P3.4/T0 P3.5/T1 P3.6/WR P3.7/RD 39 38 37 36 35 34 33 32 U2:C 74HC04 U2:D 21 22 23 24 25 26 27 28 10 11 12 13 14 15 16 17 74HC04 13 12 74HC04 U2:E 11 SW1 SW-SPST-MOM SW2 10 74HC04 U2:F 74HC04 SW-SPST-MOM U3:A 80C51 74HC04 U3:B 74HC04 Đề tài số hạn chế chưa sử dụng hết tính vi điều khiển Do thời gian thưc có hạn nên khơng thể tránh khỏi thiếu sót nên mong góp ý từ thầy bạn để đề tài hồn thiện II.Mạch Thực Nghiệm: SVTH:Cao Nghĩa,Đình HUY Trang42 GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch Mạch In đồng hồ SVTH:Cao Nghĩa,Đình HUY Trang43 GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch Mạch sau Hoàn Thiện Mạch sau Chạy III HƯỚNG PHÁT TRIỂN Nếu đề tài hoàn thành tốt đẹp thời gian tới, với điều kiện cho phép em cố gắng phát triển đề tài lớn với nhiều hiệu ứng lạ góp phần giới thiệu phát triển ngành quảng cáo led đơn ngày sâu rộng đến vùng q xa xơi SVTH:Cao Nghĩa,Đình HUY Trang44 GVHD: Trần Trọng Hiếu Đồ Án Môn Học Vi Mạch TÀI LIỆU THAM KHẢO Tra linh kiện WWW.ALLDATASHEET.COM Diễn đàn điện tử Việt Nam www.dientuvietnam.net Tài Liệu vi xử lý Thầy Trần Trọng Lê thành Tới, Hoàng Đắc Huy (ĐH CNTP TP.HCM) Tài liệu thực hành vi xử lý Trương Ngọc Anh Kỹ Thuật Vi Xử Lý: Tác giả: Trần Văn Trọng (ĐHSP Kỹ Thuật-TP.HCM) Giới thiệu họ VDK 89C51 Đặng Hữu Phúc trường đại học Trà Vinh Giáo trình Vi điều khiển Phạm Hùng Kim Khánh www.led.net.vn www.diendandien.com 10 www.hoiquandientu.com SVTH:Cao Nghĩa,Đình HUY Trang45

Ngày đăng: 14/11/2017, 01:15

Tài liệu cùng người dùng

Tài liệu liên quan