đồ án thiết kế hệ thống số

20 282 0
đồ án thiết kế hệ thống số

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Đồ án thiết kế hệ thống số MỤC LỤC I GIỚI THIỆU TRÒ CHƠI PING-PONG II TÌM HIỂU VỀ VGA……………………………………………… Nguyên lý quét hiển thị hình ảnh…………………………… 2 Tín hiệu VGA……………………………………………………………… Định thời tín hiệu cho chế độ hiển thị VGA 640x480, 60Hz…………… …5 III THIẾT KẾ CHƯƠNG TRÌNH ĐIỀU KHIỂN TRÒ CHƠI PING PONG….9 Sơ đồ khối hệ thống……………………………………………………… Khối điều khiển VGA…………………………………………………… 10 Khối điều khiển trò chơi……………………………………………….… 14 Ghép nối hệ thống………………………………………………………….18 IV TỔNG KẾT……………………………………………………………….……20 V TÀI LIỆU THAM KHẢO…………………………………………….………20 Ping-Pong game FPGA Page Đồ án thiết kế hệ thống số I GIỚI THIỆU TRÒ CHƠI PING-PONG: Hình 1: Trò chơi Ping-Pong Ping-Pong trò chơi quen thuộc phổ biến giới Luật chơi đơn giản, người chơi sử dụng phím trái phải để điều khiển trượt đỡ trái bóng II TÌM HIỂU VỀ VGA: Nguyên lý quét thị hình ảnh: Chúng ta nhìn thấy phân biệt vật nhờ tính chất phản xạ ánh sáng khác vật chi tiết vật Khi rọi lên vật chùm tia sáng trắng, từ phần (điểm) vật phản xạ lại phía người quan sát Cường độ thành phần phổ (màu sắc) tia phản xạ thể tính chất phản xạ phần tử Đó tin tức thấy vật  Mỗi ảnh tĩnh phân chia thành nhiều dòng, dòng chia thành nhiều điểm ảnh (pixel) có diện tích nhỏ Ping-Pong game FPGA Page Đồ án thiết kế hệ thống số  Theo trình tự định ( từ trái sang phải dòng, từ dòng xuống dòng ảnh), màu sắc điểm ảnh “đọc” biến đổi thành tín hiệu điện, hay gọi tín hiệu video  Dựa vào giá trị tức thời tín hiệu video, tia điện tử đèn hình "vẽ" lại màu sắc điểm ảnh theo trình tự để tạo lại ảnh tĩnh Do khả phân giải mắt người, số điểm ảnh ảnh tĩnh đủ lớn (hay nói cách khác diện tích điểm ảnh đủ nhỏ), ta phân biệt điểm ảnh liên tiếp dòng phân biệt dòng ảnh tĩnh mà nhìn thấy ảnh tĩnh tổng thể liền lạc, không bị chia cắt  Các ảnh tĩnh liên tiếp đèn hình "vẽ" lại, tạo lại cảm giác hình ảnh chuyển động hình, nhờ vào khả lưu ảnh mắt người Hình 2: Nguyên lý quét hình ảnh Quá trình quét hàng 0, cột góc bên trái hình di chuyển sang bên phải cuối hàng giật ngược trở lại để quét hàng Quá trình lặp lại điểm ảnh cuối góc bên phải hình lại quay điểm bắt đầu để lặp lại trình quét Do lưu ảnh mắt, ta truyền 24 ảnh/1 giây, tái tạo lại hình ảnh người xem có cảm giác hình ảnh chuyển động liên tục Tuy nhiên với 24 Ping-Pong game FPGA Page Đồ án thiết kế hệ thống số ảnh/1 giây, ánh sáng bị chớp hay nhấp nháy hình (flicker), gây khó chịu cho người xem Đối với điện ảnh, thời gian chiếu ảnh người ta ngắt ánh sáng làm hai lần Điều có nghĩa, thay chiếu ảnh liên tục thời gian 1/24 giây, người ta chiếu ảnh làm hai lần, lần 1/48 giây Kết cho ta cảm giác xem 48 ảnh/1 giây thay 24 ảnh/1 giây Hình ảnh chuyển động liên tục ánh sáng không bị chớp Như vậy, số lưọng ảnh truyền giây lớn cử động ảnh thấy liên tục ảnh tổng hợp không bị nhấp nháy Tương tự, truyền hình, để tránh tượng bị rung, lắc có vết đen trôi ảnh lọc nguồn không đảm bảo chất lượng, người ta truyền 50 ảnh/1 giây nơi sử dụng điện lưới có tần số 50Hz 60 ảnh/1 giây nơi có tần số điện lưới 60Hz Đây gọi tốc độ làm tươi VGA: VGA (hay Video Graphics Array) chuẩn hiển thị máy tính giới thiệu năm 1987 từ phía IBM loại máy tính PS/2 họ Nó hiểu thiết bị xuất đồ họa dạng Video thành dãy hình hiển thị 256 màu biến đổi liên tục lúc, với độ phân giải 640 dòng chiều ngang 480 dòng chiều dọc Chuẩn VGA tương thích lùi với tất chuẩn hiển thị trước đó, CGA, MDA EGA Chuẩn VGA cao EGA độ phân giải cao, mà công nghệ VGA cho phép giữ vững tỉ lệ co giãn hình đồ họa hình máy tính Chuẩn VGA dùng công nghệ tín hiệu đầu vào dạng tương tự để tạo số lượng không hạn chế màu sắc biến đổi theo dải liên tục, EGA dùng công nghệ hình số nên bị giới hạn số lượng mức cường độ màu Chuẩn VGA IBM hãng sản xuất thiết bị nâng lên cao đưa điều hợp VGA (card hình) có khả hiển thị thêm chế độ đồ họa bổ sung Đó chế độ Super VGA có độ phân giải 800 pixel dòng chiều ngang 600 dòng chiều dọc, điều hợp cao cấp cho phép hiển thị độ phân giải 1024 x 768 cao hơn, mức độ tối thiểu 256 màu (8 bit) Ping-Pong game FPGA Page Đồ án thiết kế hệ thống số Hình 3: Các thông số tín hiệu VGA Định thời tín hiệu cho chế độ hiển thị VGA 640x480, 60Hz Trong thiết kế này, sử dụng VGA 640x480 pixel, tốc độ quét pixel 25MHz Có tín hiệu điều khiển chính, bao gồm tín hiệu đồng hàng Hsync, cột Vsync Và tín hiệu cho tia màu RGB Hai tín hiệu đồng Hsync Vsync dùng để điều khiển tạo rạng sóng cưa Đây tín hiệu số Ping-Pong game FPGA Page Đồ án thiết kế hệ thống số Hình 4: CRT display timing Ping-Pong game FPGA Page Đồ án thiết kế hệ thống số Ba tín hiệu RGB kết hợp với tạo màu sắc bản: Hình 5: 640x480 Mode VGA timing Như để tạo tín hiệu quét trên, đơn giản ta tạo hai đếm Bộ đếm đến giá trị tương ứng cho bảng xuất tín hiệu lên mức ‘1’ Ping-Pong game FPGA Page Đồ án thiết kế hệ thống số Hình 6: Giản đồ thời gian tín hiệu đồng Hình 7: Kết nối tín hiệu với cổng DB15 Ping-Pong game FPGA Page Đồ án thiết kế hệ thống số III.THIẾT KẾ CHƯƠNG TRÌNH ĐIỀU KHIỂN TRÒ CHƠI PING PONG: Sơ đồ khối hệ thống: Hình 7: Top-module Hệ thống gồm khối: khối điều khiển VGA khối điều khiển game Các lối vào:  clk: lấy xung clock 50Mhz từ hệ thống  start: cho phép hoạt động  reset: khởi động lại hệ thống  button_r, button_l: nút điều khiển trò chơi Các lối ra:  h_s: tín hiệu quét đồng ngang  v_s: tín hiệu quét đồng dọc  RGB: màu sắc điểm ảnh quét Ping-Pong game FPGA Page Đồ án thiết kế hệ thống số Khối điều khiển VGA: Hình 8: VGA module Nhiệm vụ: tạo tín hiệu quét ngang quét dọc để điều khiển VGA, đưa tín tọa độ pixel quét tới khối điều khiển game Các lối vào:  start: cho phép hoạt động  clk: lấy xung clock từ hệ thống  reset: dùng để khởi động lại hệ thống Các lối ra:  h_s: tín hiệu quét đồng ngang  v_s: tín hiệu quét đồng dọc  x_control: đếm từ ÷ 639  y_control: đếm từ ÷ 479  video_on: cho phép hiển thị hình ảnh Cần sử dụng đếm mod để chia tần 25MHz từ clock 50MHz hệ thống: Ping-Pong game FPGA Page 10 Đồ án thiết kế hệ thống số library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity sync_mod is Port ( clk reset start y_control x_control h_s v_s video_on end sync_mod; : : : : : : : : in STD_LOGIC; in STD_LOGIC; in STD_LOGIC; out STD_LOGIC_VECTOR (9 downto 0); out STD_LOGIC_VECTOR (9 downto 0); out STD_LOGIC; out STD_LOGIC; out STD_LOGIC); architecture Behavioral of sync_mod is Video constant constant constant constant Parameters HR:integer HFP:integer HBP:integer HRet:integer := := := := 640; 16; 48; 96; Horizontal Horizontal Horizontal Horizontal constant constant constant constant VR:integer VFP:integer VBP:integer VRet:integer := := := := 480; 10; 33; 2; Vertical Vertical Vertical Vertical Resolution Front Porch Back Porch retrace Resolution Front Porch Back Porch Retrace sync counter signal counter_h,counter_h_next : integer range to 799; signal counter_v,counter_v_next : integer range to 524; mod counter signal counter_mod2, counter_mod2_next : std_logic:='0'; State signals signal h_end, v_end : std_logic:='0'; Output Signals(buffer) signal hs_buffer, hs_buffer_next : std_logic:='0'; signal vs_buffer, vs_buffer_next : std_logic:='0'; pixel counter signal x_counter, x_counter_next : integer range to 900; signal y_counter, y_counter_next : integer range to 900; video_on_off signal video : std_logic; Ping-Pong game FPGA Page 11 Đồ án thiết kế hệ thống số begin clk register process(clk,reset,start) begin if reset = '1' then counter_h => => x, y, button_l , button_r, rgb ); U2: sync_mod PORT MAP( clk => clk, reset => reset, start => start, y_control => y, x_control => x , h_s => h_s , v_s => v_s, video_on => video ); end Behavioral; VI TỔNG KẾT  Hiểu nguyên lý quét VGA 640x480, 60Hz  Thao tác thành thạo với KIT Spartan-3AN  Game chạy ổn định, xác Phát triển:  Thêm trượt để người chơi  Hiển thị điểm số Led LCD VII TÀI LIỆU THAM KHẢO http://vi.wikipedia.org/wiki/Video_Graphics_Array http://fpgacenter.com/examples/vga/vhdl_code.php Ping-Pong game FPGA Page 20

Ngày đăng: 01/07/2016, 07:17

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan