Hướng dẫn sử dụng phần mềm Modelsim

44 630 6
Hướng dẫn sử dụng phần mềm Modelsim

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Hướng dẫn sử dụng phần mềm modelsim với hướng dẫn chi tiết cách tạo 1 project với modelsim cách thiêt kế hệ thống số cách mô phỏng thiết kế với modelsim 1.1 Modelsim ModelSim is a verification and simulation tool for VHDL, Verilog, SystemVerilog, and mixedlanguage designs. Software : ModelSimAltera 6.6d Starter Edition References : Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor (Altera). ModelSim Tutorial (Mentor Graphics). http:www.altera.com

LOGO TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI VIỆN ĐIỆN TỬ VIỄN THÔNG IC Design Lab Introduction LOGO 1. Introduction Contents 2. Design Project 3. Simulation 4. Synthesize the design 1. Introduction LOGO 1. Introduction Modelsim Quartus LOGO 1. Introduction 1.1 Modelsim  ModelSim is a verification and simulation tool for VHDL, Verilog, SystemVerilog, and mixed-language designs.  Software : ModelSim-Altera 6.6d Starter Edition  References :  Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor (Altera).  ModelSim Tutorial (Mentor Graphics).  http://www.altera.com LOGO 1. Introduction 1.2 Quartus  Quartus .  Software : Quartus II 11.1 Web Edition  References :  Quartus Tutorial .  http://www.altera.com LOGO 1. Introduction Contents 2. Design Project 3. Simulation 4. Synthesize the design 2. Design Project LOGO 2. Design Project Simple example : f(x1, x2, x3) = x1x2 + x2x3 + x3x1 Verilog code : module majority(x1, x2 ,x3 ,f); input x1, x2, x3; output f; assign f = (x1&x2)|(x2&x3)|(x3&x1); endmodule; LOGO 2. Design Project Open the ModelSim simulator. In the displayed window select File > New > Project LOGO 2. Design Project A Create Project pop-up box will appear… 1.Enter the name of the project Choose Project Location LOGO 2. Design Project  Create new file… 1 2 3 . Introduction Modelsim Quartus LOGO 1. Introduction 1.1 Modelsim  ModelSim is a verification and simulation tool for VHDL, Verilog, SystemVerilog, and mixed-language designs.  Software : ModelSim- Altera. Edition  References :  Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor (Altera).  ModelSim Tutorial (Mentor Graphics).  http://www.altera.com LOGO 1. Introduction . f; assign f = (x1&x2)|(x2&x3)|(x3&x1); endmodule; LOGO 2. Design Project Open the ModelSim simulator. In the displayed window select File > New > Project LOGO 2. Design Project A

Ngày đăng: 28/01/2015, 11:01

Từ khóa liên quan

Mục lục

  • Slide 1

  • Contents

  • 1. Introduction

  • 1. Introduction

  • 1. Introduction

  • Slide 6

  • 2. Design Project

  • 2. Design Project

  • 2. Design Project

  • 2. Design Project

  • 2. Design Project

  • 2. Design Project

  • 2. Design Project

  • Slide 14

  • 3. Simulation

  • 3. Simulation

  • 3. Simulation

  • 3. Simulation

  • 3. Simulation

  • 3. Simulation

Tài liệu cùng người dùng

Tài liệu liên quan