Đồ án: Thiết kế mạch logic ppsx

17 376 0
Đồ án: Thiết kế mạch logic ppsx

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Đồ án môn Thiết kế mạch Logic Giáo viên hớng dẫn: Nguyễn Nam Quân Chơng Mạch quang báo dùng Vi Điều Khiển PIC16F88 I Nguyên lý làm việc mạch quang báo I.1 Giới thiệu bảng LED ma trận Trớc v o nguyên lý hoạt động mạch ta tìm hiểu qua bảng ma trận LED đợc sử dụng đề t i Bảng hiển thị ma trận LED (dot-matrix display) có nhiều loại v đủ kích cỡ to nhỏ khác nhau, bảng gồm có nhiều LED đơn đợc ghép lại với khối Trong khối LED đơn đợc sếp theo h ng v cột, giao điểm h ng với cột l LED đơn, v ngời ta thờng phân biệt loại bảng LED theo số h ng v cột Một b¶ng LED 5x7 tøc l cã cét däc v h ng ngang, tæng céng sÏ cã 5x7=35 LED đơn đợc ghép lại Cũng nh bảng 8x8 l cã h ng v cét, 64 LED đơn ghép lại V nhiều loại cỡ to nh 16x16 hay 32x32 Trên thị trờng ta thờng thấy bảng LED cỡ lớn, d i h ng mét với đủ kích cỡ Các bảng LED l h ng nghìn LED đơn ghép lại Khi thiết kế bảng LED to nh ta cần ý ®Õn sù ®ång ®Ịu vỊ ®é s¸ng cđa c¸c LED để việc hiển thị đợc đồng Bên cạnh vấn đề cấp nguồn cho mạch cần đợc ý v thiết kế cho phù hợp Trong đề t i n y em sư dơng hai b¶ng LED 8x8 cho viƯc hiĨn thÞ, sư dơng ma trËn 5x7 cho việc hiển thị ký tự Sau l sơ đồ ghép nối hai loại bảng LED thông dơng l 5x7 v 8x8 Nhãm sinh viªn: Ngun ChÝ Linh Tek Song Leng Đồ án môn Thiết kế mạch Logic Giáo viên hớng dẫn: Nguyễn Nam Quân H1.1 Bảng LED 8x8 Bảng ma trận LED có hai loại, loại có cột l chân Anode, h ng l chân Cathode v loại ngợc lại cột l Cathode, h ng l Anode Khi sử dụng LED ta cần ý điều n y ®Ĩ ®iỊu khiĨn cho ®óng Khi ®ãng vá, sù ph©n bố chân h ng v cột l không theo thø tù (do tÝnh phøc t¹p ghÐp nèi), ta cần tìm hiểu kỹ để mắc mạch cho Dới l sơ đồ chân bảng LED 8x8 đợc dùng đề t i Các số gạch chân l h ng Các số không gạch chân l cét Nhãm sinh viªn: Ngun ChÝ Linh – Tek Song Leng Đồ án môn Thiết kế mạch Logic Giáo viên h−íng dÉn: Ngun Nam Qu©n 6 5 H ng Cét H1.2 B¶ng LED 5x7 Nhãm sinh viên: Nguyễn Chí Linh Tek Song Leng Đồ án môn Thiết kế mạch Logic Giáo viên hớng dẫn: Nguyễn Nam Quân I.2 Nguyên tắc l m sáng đèn LED bảng LED Khi muốn l m sáng LED dơn, ta cần đa điên áp dơng v o chân Anode v điện áp âm v o chân Cathode với giá trị thích hợp, LED sáng Giá trị điện áp v dòng điện tuỳ thuộc v o m u sắc loại LED Dòng chảy qua LED để đảm bảo độ sáng bình thờng l từ 10mA 25mA Về điện áp ta có bảng sau M u LED\Điện áp Vmin V Vmax Đỏ 1.88 1.9 1.93 Xanh 2.08 2.1 2.12 V ng 1.98 2.0 2.02 Khi ta muốn l m sáng điểm bảng ma trËn LED ta cịng l m t−¬ng tù XÐt víi bảng LED 5x7 dới (H1.3) H1.3 Bảng LED 5x7 Ta muốn l m sáng LED vị trí h ng - cét (Row = : Col = 3), ta đa mức điện áp dơng (mức logic 1) đến chân số tức h ng thứ v nối chân số 10 tức cột xuống đất (GND hay mức logic 0), đèn LED vị trí sáng Việc hiển thị thông tin bảng LED ta l m tơng tự, cho sáng ®iĨm theo sù ®iỊu khiĨn cđa ta ®Ĩ t¹o chữ v hình ảnh Việc điều khiển hiển thị đợc trình b y phần dới dây Nhóm sinh viên: Nguyễn Chí Linh Tek Song Leng Đồ án môn Thiết kế mạch Logic Giáo viên hớng dẫn: Nguyễn Nam Quân I.3 Nguyên tắc quét bảng ma trận LED Trong ®Ị t i n y em sư dơng hai bảng LED 8x8 ghép lại th nh bảng cỡ 8x16 (8 h ng v 16 cột) Mỗi ký tự đợc hiển thị khung cỡ 5x7 Dới l nguyên tắc quét v hiển thị ký tự (giả thiết l chữ R) khung hình 5x7 Để hiển thị ký tự lên bảng LED, ta dùng phơng pháp quét cột v xuất liệu h ng Quá trình quét cột l ta gửi tín hiƯu cho phÐp ®Õn tõng cét tõng thêi ®iĨm Cùng lúc ta gửi liệu h ng đến h ng Trong ®Ị t i n y tÝn hiƯu cho phÐp cét l møc logic ‘1’, v d÷ liƯu h ng t−¬ng øng l møc ‘0’ hay ‘1’ cđa tõng h ng, møc ‘0’ øng víi LED s¸ng (on) v mức l tắt (off) - Đầu tiên ta đa liệu cần hiển thị đến h ng, vÝ dơ 11100110 - KÝch ho¹t cét thø nhÊt v LED tơng ứng sáng Tạo thời gian trễ, sau tắt cột thứ - Gửi tiếp giá trị liệu h ng cột thø 2, kÝch ho¹t cét thø 2, t¹o trƠ v lại tắt cột thứ - Quá trình quét cø tiÕp diƠn cho ®Õn qt hÕt 16 cét bảng LED Việc quét hiển thị n y diễn thêi gian rÊt ng¾n, cì v i chơc mili giây, ta thấy hình ảnh hay chữ hiển thị bảng LED Tuy thời điểm có cột đợc sáng nhng thời gian quét nhanh v tơng lu ảnh võng mạc mắt nên ta thấy hình ảnh xuất liên tục Tần số quét cần phải đảm bảo cho đủ lớn 24hình/s Thờng ta chọn tần số quét từ 40Hz đến 100Hz lớn Dữ liệu hiển thị h ng đợc lấy từ EEPROM từ Flash ROM Vi Điều KhiĨn hay tõ ROM ngo i Trong ®Ị t i n y ban đầu liệu đợc lấy từ ROM PIC16F88, câp nhật liệu từ máy tính liệu đợc lấy từ EEPROM PIC16F88 (256 byte) QuÐt cét D li u hàng Nhãm sinh viªn: Ngun ChÝ Linh – Tek Song Leng TÝn hiƯu kích hoạt cột (C1 -> C5) Đồ án môn Thiết kế mạch Logic Giáo viên hớng dẫn: Nguyễn Nam Quân I.4 Phơng pháp tạo hiệu ứng chữ chạy từ phải qua trái bảng LED Sau đ hiển thị đợc hình ảnh lên bảng LED Bây ta tìm hiểu cách tạo hiệu ứng chữ chạy bảng LED Thủ thuật l quét v hiển thị hình ảnh thời gian định, sau ta dịch liệu cột sang trái vị trí, ta tạo đợc hiệu ứng chữ chạy bảng LED Đề t i n y ta sử dụng mạch mẫu l bảng LED 8x16, sư dơng 16 byte RAM l m bé ®Ưm cho m n hình, lu giá trị liệu h ng 16 cột Bộ đệm đợc khai báo RAM nội chip PIC16F88 l mảng gồm 17 phần tử Chơng trình hiển thị l m nhiệm vụ đọc liệu từ vị trí đến 16 đệm v đa m n hình hiển thị Hiệu ứng chữ chạy đợc tạo cách dịch giá trị phần tử vị trí (15 ->16, 14 ->15, 13 ->12) Sau lần dịch ta lại gọi chơng trình hiển thị Khi bảng LED ta quan sát đợc hiệu ứng chữ chạy II Nguyên lý làm việc mạch quang báo Mạch điện sử dụng Vi Điều Khiển PIC nên phần cứng đơn giản Mạch gồm có khối với IC đợc sử dụng Khối điều khiển trung tâm sử dụng Vi Điều Khiển PIC16F88 Vi Điều KhiĨn n y cã 18 ch©n (kÝch th−íc nhá nhÊt dòng PIC Mid-range) Tuy vậy, với nhiều tính mạnh đợc tích hợp sẵn nên PIC16F88 đợc chọn Khối giải m địa cột sử dụng IC 74HC154 l IC giải m /giả đa hợp Chíp CMOS n y đợc dùng để giải m cột, đờng v o v 16 đớng 16 đờng n y đợc nèi ®Õn 16 cét ®Ĩ l m nhiƯm vơ ®−a tín hiệu cho phép cột KhốI giải m liệu h ng sử dụng IC 74HC595, l ghi dÞch 8-bit v o nèi tiÕp song song Ta sư dơng IC n y nh»m mơc ®Ých tiÕt kiƯm chân giao tiếp IC giải m với Vi Điều Khiển Chân DATA-IN (14) để đa liệu nối tiếp v o, chân CLK (11) đa xung đồng hồ dịch bit, chân LATCH(12) để chốt liệu đợc gửi đầu đợc nối đến h ng bang LED thông qua mạch đệm dòng Nguyên lý hoạt động: Chơng trình phần mềm chứa Vi Điều Khiển l m nhiệm vụ điều khiển hoạt động mạch Chơng trình phần mềm gồm có nhiều chơng trình con: chơng trình hiển thị, chơng trình cập nhật EEPROM… Nhãm sinh viªn: Ngun ChÝ Linh – Tek Song Leng Đồ án môn Thiết kế mạch Logic Giáo viên hớng dẫn: Nguyễn Nam Quân Lu đồ thuật toán Cập nhật tin START Khởi tạo ban đầu: - Định nghĩa chân v o - Thiết lập cho ADC(kênh,tốc độ) - Lu tin v o EEPROM) Hiển thị nội dung: - Bản tin - EEPROM Xoá ®Ưm m n h×nh Update_flag ? NO YES NO Trë Đọc giá trị ADC Chơng trình hiển thị bảng LED Nhóm sinh viên: Nguyễn Chí Linh Tek Song Leng Nhập tin ? YES Gọi chơng trình cập nhật: - Số từ hiển thị - Vị trí EEPROM - Số ký tự thêm v o - Nhập END Đồ án môn Thiết kế mạch Logic III Giáo viên hớng dẫn: Nguyễn Nam Quân Sơ đồ nguyên lý mạch điện a Khối mạch v hiển thÞ R1 4k7 VCC R2 4k7 A1015 R3 4k7 R9 VCC 4k7 U4 14 12 11 13 10 SER RCLK SRCLK G SRCLR QA QB QC QD QE QF QG QH 15 row1 A1015 row2 A1015 row3 A1015 row5 4k7 R1 QH' row6 Q1 A1015 R9 74HC595 row8 Q8 A1015 4k7 R2 row7 Q3 A1015 R3 4k7 Ma tran 8x8 Ma tran 8x8 row4 Q7 A1015 4k7 Col3 Col1 Col0 Col2 Col5 Col4 Col7 Col6 Col9 Col8 Col11 Col15 Col13 Col10 Col12 Col14 VCC 14 17 18 A0 A1 A2 A3 15 16 XTAL1 XTAL2 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 Y10 Y11 Y12 Y13 Y14 Y15 VCC U5 10K G1 G2 A B C D Dieu chinh toc 18 19 RA0 RB0/INT RA1 RB1 RA2 RB2/RX RA3 RB3 RA4/TOCKI RB4 RB5/TX RB6 RB7 OSC2/CLKOUT MCLR OSC1/CLKIN 23 22 21 20 10 11 12 13 10 11 13 14 15 16 17 U6 A0A1A2A3 VDD 74154 PIC16F88 b Khèi t¹o dao động, mạch Reset XTAL1 VCC XTAL2 R15 10k 10MHz C5 22p RST C6 22p C4 10u c Khèi giao tiÕp m¸y tÝnh COM on PC MAX232 13 RX (RB2) TX (RB5) 11 10 C2 10uF C3 R1IN R2IN R1OUT R2OUT T1IN T2IN T1OUT T2OUT 14 C1+ C1C2+ 12 C1 V+ V- C2- Nhãm sinh viªn: Ngun ChÝ Linh – Tek Song Leng C7 VCC Nối đến chân MCLR(1) Đồ án môn Thiết kế mạch Logic Giáo viên hớng dẫn: Nguyễn Nam Qu©n d Khèi nguån VIN GND 7805 +9V +12V VOUT +5V 47uF 104 100uF 10uF IV Linh kiện sử dụng mạch a Khối điều khiển trung tâm: Vi điều khiển PIC16F88 b GiảI m liệu h ng: IC 74HC595 c GiảI m địa cột: IC 74HC154 d Đệm dòng cho h ng dùng Transistor A1015 e Giao tiÕp m¸y tÝnh: IC MAX232 (MAXIM-DALLAS) f §iƯn trë 4K7, 10K, tơ 10µF, 100µF, 47µF, IC 7805 (ổn áp 5V) g Bảng LED 8x8 (2 bảng) V M nguồn chơng trình Dới l m nguồn chơng trình hiển thị LED Chơng trình sử dụng ngôn ngữ C, sử dụng trình biên dịch PCWH Compiler h ng Custom Computer Services, Inc (CCS), phiên 3.227 Đây l phần mềm chuyên dụng việc lập trình PIC dòng PIC16F v PIC18F Để lập trình cho PIC ta sử dụng nhiều ngôn ngữ khác nh C, C++, BASIC, ASM Mỗi ngôn ngữ lại có trình biên dịch khac Riêng nh cung cấp chip l h ng MicroChip hỗ trợ ngời dùng trình dịch ASM l MPLAB IDE (phiên l 7.21) Đây l phần mềm ho n to n miƠn phÝ, cho phÐp ng−êi dïng ph¸t triển môi trờng ASM ứng dụng Tuy đề t i n y em chọn dùng ngôn ngữ C để viết m chơng trình C l ngôn ngữ bậc cao, cho phép ta thực nhiều phép to n phức tạp với câu lệnh đơn giản Trong trình biên dịch PCWH Compiler có hỗ trợ nhiỊu h m cho phÐp ta lËp tr×nh víi PIC mét c¸ch nhanh chãng nh−: c¸c h m giao tiÕp I2C, RS232, SPI…, c¸c h m xư lý víi EEPROM nh− write_eeprom, read_eeprom,… Nhãm sinh viªn: Ngun ChÝ Linh – Tek Song Leng Đồ án môn Thiết kế mạch Logic Giáo viên hớng dẫn: Nguyễn Nam Quân //+===Chuong trinh LED matrix display===========+ //| Thiet ke: Nguyen Chi Linh - DT8K47 - DHBKHN | //| MCU: PIC16F88 (4K FLASH ROM, 256K EEPROM) | //| Cac IC khac: 74154 - demux/decoder 1-of-16 | //| 74595 - Ghi dich 8bit | //+=============================================+ #include #include #device *=16 ADC=8 #FUSES NOWDT, HS, NOPUT, MCLR, NOBROWNOUT, NOLVP, NOCPD, NOWRT, NODEBUG, NOPROTECT, NOFCMEN, NOIESO #use delay(clock=20000000) #use rs232(baud=9600,parity=N,xmit=PIN_B5,rcv=PIN_B2,bits=9) #include #include //File chua bo font ma hoa ky tu ASCII // Dinh nghia cac chan cho ket noi 74595 #bit clk = 0x06.1 //RB0 #bit data = 0x06.3 //RB1 #bit latch = 0x06.4 //RB3 // Bo nho dem man hinh hien thi int8 buff_disp[25]; //Bo nho dem cho man hinh LED int8 max_char=117; //SO ky tu hien thi toi da int8 time=5; //Bien quy dinh toc chu chay int1 text_on_rom=0; int8 chon=0; int8 address; int8 choose_text; //=========KHAI BAO CAC CHUONH TRINH CON================ int8 doc_eeprom(int8 addr); void send_2_595(int8 temp); void display(); void copy_2_ram1(int8 index_char); void copy_2_ram2(int8 index_char); void update_eeprom(); void convert_bcd(int8 x); //============================================= #INT_EXT EXT_ISR() { disable_interrupts(GLOBAL); clear_interrupt(int_ext); chon++; if(chon==3) chon = 0; choose_text = 0; text_on_rom = 0; Nhãm sinh viªn: Ngun ChÝ Linh Tek Song Leng Đồ án môn Thiết kế mạch Logic Giáo viên hớng dẫn: Nguyễn Nam Quân enable_interrupts(GLOBAL); } //===========Chuong trinh chinh================ void main() { int8 i,j,k; #bit update_rom = 0x06.6 char const a[119]= " Hello World.LED Matrix PIC16F88 - 74154 - 74595 Bang thong tin dien tu.Nguyen Chi Linh-DT8 DAI HOC BACH KHOA HA NOI "; char const b[119]= " HAPPY NEW YEAR *2006* - CHUC MUNG NAM MOI - Chuc Mung Nam Moi - Happy new year linhnc308@yahoo.com 1234567890 "; char const c[119]= " You like a little flame in my heart When I see you, the flame is like up Because I love you Because I LOVE YOU "; char const adc[6] = " ADC="; //=========================================== TRISA=0x10; // Thiet lap chan vao TRISB=0b00100101; //==Thiet lap ngat ngoai ================== enable_interrupts(INT_EXT); ext_int_edge(H_TO_L); enable_interrupts(GLOBAL); //=========================================== setup_adc_ports(sAN4); setup_adc(ADC_CLOCK_INTERNAL); chs0=0; //Clear bit 1f.3 chs1=0; //Clear bit 1f.4 chs2=1; //Clear bit 1f.5 delay_ms(10); //======= HIEN THI TRAI TIM ======= //============================== //======= HIEN THI BAN TIN ======== for(i=0;i

Ngày đăng: 23/07/2014, 05:20

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan