Điều khiển ma trận led docx

21 499 0
Điều khiển ma trận led docx

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Lời mở đầu: Ngày nhu cầu thông tin quảng cáo lớn , việc áp dụng phương tiện kĩ thuật vào lĩnh vực cần thiết Khi bạn đến nơi cơng cộng, bạn dễ dàng bắt gặp áp phích quảng cáo điện tử chạy theo hướng khác với nhiều hình ảnh màu sắc ấn tượng Từ yêu cầu môn học kĩ thuật vi xử lý thực tiễn trên, chúng em định chọn đề tài cho tập lớn môn học là: Thiết kế mạch hiển thị dùng ma trận LED Khi đề tài mở rộng có khả ứng dụng thực tiễn lớn Nói tóm lại, thời đại bùng nổ thông tin nay, khả ứng dụng tiềm lực phát triển hệ thống lớn, đặc biệt Việt Nam, hệ thống cịn ít, hầu hết nhập từ nước với giá thành cao I) Cơ sở lý thuyết: huyế Dựa nguyên tắc qt hình, ta thực việc hiển thị ma trận đèn cách quét theo hàng quét theo cột Mỗi Led ma trận LED coi điểm ảnh Địa điểm ảnh xác định đồng thời mạch giải mã hàng giải mã cột, điểm ảnh xác định trạng thái nhờ liệu đưa từ vi điều khiển 8951 Như thời điểm có trạng thái điểm ảnh xác định Tuy nhiên xác định địa trạng thái điểm ảnh điểm ảnh cịn lại chuyển trạng thái tắt (nếu LED sáng tắt dần) Vì để hiển thị tồn hình ảnh ma trận đèn, ta quét ma trận nhiều lần với tốc độ quét lớn, lớn nhiều lần thời gian kịp tắt đèn Mắt người nhận biết tối đa 24 hình/s tốc độ qt lớn khơng nhận thay đổi nhỏ đèn mà thấy tồn hình ảnh cần hiển thị Sơ đồ khối: Giải mã cột Data Giải mã hàng Ma trận đèn LED Để thực quét hàng quét cột ma trận LED thiết kế sau:  Các LED hàng nối chân dương với  Các LED cột nối chân âm với hình vẽ Ta mơ ma trận Led đơn giản 4x4 sau: Hàng Cột Sơ đồ thiết kế ma trận LED Trạng thái LED định tín hiệu điện áp vào đồng thời chân Ví dụ để LED sáng điện áp 5V phải đưa vào chân dương chân âm phải nối đất, LED tắt khơng có điện áp đưa vào chân dương Với đề tài này, chúng em chọn loại ma trận LED 8x8 để hiển thị Ta có sơ đồ nguyên lý Ma trận LED 8x8: Để ma trận sáng hình vẽ (hiển thị phần chữ ADIDAS): Đèn LED thứ - Đèn LED thứ hai Đèn Led thứ ba Thực quét dòng cột: Chọn cột 1, đưa điện áp cột Sau chọn quét hàng 1,2,3,4,5,6,7,8 sau: + Đèn tắt ◊ Điện áp đưa vào hàng 0V + Đèn tắt ◊ Điện áp đưa vào hàng 0V + Đèn sáng ◊ Điện áp đưa vào hàng 5V + Đèn sáng ◊ Điện áp đưa vào hàng 5V + Đèn sáng ◊ Điện áp đưa vào hàng 5V + Đèn sáng ◊ Điện áp đưa vào hàng 5V + Đèn sáng ◊ Điện áp đưa vào hàng 5V + Đèn sáng ◊ Điện áp đưa vào hàng 5V Chọn cột 2, nối đất Sau quét hàng 1,2,3,4,5,6,7,8 + Đèn tắt ◊ Điện áp đưa vào hàng 0V + Đèn sáng ◊ Điện áp đưa vào hàng 5V + Đèn tắt ◊ Điện áp đưa vào hàng 0V + Đèn sáng ◊ Điện áp đưa vào hàng 5V + Đèn tắt ◊ Điện áp đưa vào hàng 0V + Đèn tắt ◊ Điện áp đưa vào hàng 0V + Đèn tắt ◊ Điện áp đưa vào hàng 0V + Đèn tắt ◊ Điện áp đưa vào hàng 0V - Tiếp tục quét với cột từ đến cách trên, sau chuyển sang quét đèn LED thứ hai thứ ba cách tương tự Để mắt người nhận biết tồn hình ảnh ma trận ta phải tiến hành quét nhiều lần Do mắt người không phân biệt thay đổi ảnh ảnh quét với tốc độ 24 hình/s nên ta quét ảnh với tốc độ lớn 24 hình/s ảnh chạy liên tục khơng bị giật II) Thiết kế: II) - Để thực việc quét theo hàng quét theo cột ta cần phải làm công việc sau: Thiết kế ma trận LED theo mơ nói Thiết kế phân kênh đưa vào giải mã địa cột cho tất điểm ảnh Thiết kế đệm để ổn định liệu (gồm đệm hàng đệm cột) 1) Sơ đồ khối mạch hiển thị dùng ma trận LED : Sơ đồ khối cho mạch điều khiển ma trận LED x 2)Nhiệm vụ khối: i Bộ vi điều khiển 8951: Đây nơi lưu giữ hàng cột chương trình điều khiển liệu cho mạch giải mã AT89C51 hệ vi tính bit đơn chip CMOS có hiệu suất cao, cơng suất nguồn tiêu thụ thấp có 4Kbyte nhớ ROM Flash xố được/lập trình Chip sản xuất dựa vào cơng nghệ nhớ khơng nội dung có độ tích hợp cao AT89C51 có đặc trưng chuẩn sau: 4Kbyte Flash, 128 byte RAM, 32 đường xuất nhập, hai định thời/đếm 16 bit, cấu trúc ngắt hai mức ưu tiên nguyên nhân ngắt, port nối tiếp song công, mạch tạo dao động tạo xung Clock Chip Chế độ nghỉ dừng CPU cho phép RAM, định thời/đếm, Port nối tiếp hệ thống ngắt hoạt động   Mô tả chân IC 8951: Vcc: Chân cung cấp điện GND: Chân nối đất  Port 0: Port port xuất nhập bit chiều cực D hở Port cịn cấu hình làm bus địa (byte thấp) làm bus liệu đa hợp truy xuất nhớ liệu ngồi nhớ chương trình ngồi Port nhận byte mã lập trình cho Flash xuất byte mã kiểm tra chương trình  Port 1: Port port xuất nhập bit hai chiều có điện trở kéo lên bên Khi logic ghi lên chân port 1, chân kéo lên mức cao điện trở kéo lên bên sử dụng ngõ vào Khi làm nhiệm vụ port nhập, chân port kéo xuống mức thấp tác động bên ngồi cấp dịng có điện trở kéo lên bên  Port 2: Port port xuất nhập bit hai chiều có điện trở kéo lên bên Khi logic ghi lên chân port 2, chân sử dụng ngõ vào Khi làm nhiệm vụ port nhập, chân port kéo xuống mức thấp tác động bên ngồi cấp dịng có điện trở kéo lên bên Port tạo byte cao bus địa thời gian tìm nạp lệnh từ nhớ chương trình ngồi, thời gian truy xuất nhớ liệu sử dụng địa 16 bit  Port 3: Là port xuất nhập bit hai chiều có điện trở kéo lên bên Khi logic ghi lên chân port 3, chân kéo lên mức cao điện trở kéo lên bên sử dụng ngõ vào Khi làm nhiệm vụ port nhập, chân port kéo xuống mức thấp tác động bên ngồi cấp dịng có điện trở kéo lên bên Port sử dụng làm chức khác AT89C51: Chân Chức P3.0 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 Ngõ vào Port nối tiếp Ngõ Port nối tiếp Ngõ vào ngắt Ngõ vào ngắt Ngõ vào bên định thời Ngõ vào bên định thời Điều khiển ghi nhớ liệu P3.7  Điều khiển đọc nhớ liệu RST: Ngõ vào Reset Mức cao chân chu kỳ máy dao động hoạt động Reset AT89C51 Mạch Reset tự động khởi động máy “Với chúng em thực Reset cách nối chân 8951 với nguồn 5V”  ALE: ALE xung ngõ cho phép chốt địa ALE (Address Latch Enable) cho phép chốt byte thấp địa thời gian truy xuất nhớ Chân dùng làm ngõ vào xung lập trình ( PROG ) thời gian lập trình cho Flash Khi hoạt động bình thường, xung ngõ ALE ln ln có tần số 1/6 tần số mạch dao động chip, sử dụng cho mục đích định thời từ bên ngồi tạo xung Clock Tuy nhiên cần lưu ý xung ALE bị bỏ qua chu kì truy xuất nhớ liệu ngồi Khi cần, hoạt động cho phép chốt byte thấp địa vơ hiệu hố cách set bit ghi chức đặc biệt có địa byte 8E(h) Khi bit set, ALE tích cực thời gian thực thi lệnh MOVX MOVC Ngược lại chân kéo lên mức cao Việc set bit không cho phép hoạt động chốt byte thấp địa khơng có tác dụng vi điều khiển chế độ thực thi chương trình ngồi  XTAL1: Ngõ vào đến mạch khuếch đại đảo mạch dao động ngõ vào đến mạch tạo xung Clock bên chip  XTAL2: Ngõ từ mạch khuếch đại đảo mạch dao động Để tạo mạch dao động cho vi điều khiển 8951 hoạt động, chúng em chọn mạch tạo dao động hình vẽ đây, với giá trị linh kiện là: C1 = C2 =30pF; Thạch anh dao động có tần số 12MHz Kết nối mạch dao dộng Sơ đồ chân IC AT89C51: ii Mạch giải mã cột: Dùng IC 74LS138 (3 đầu vào, đầu ra) để giải mã cho cột ma trận LED Do thời điểm có IC giải mã làm việc nên ta phải thiết kế mạch phân kênh để chọn IC làm việc Dùng chân P2.3 & P2.4 vi điều khiển 8951 để làm đầu vào cho mạch phân kênh Sơ đồ chân IC 74LS138: Ta có bảng trạng thái cho mạch phân kênh sau : Từ ta có hàm logic cho mạch phân kênh sau : Y1 = P2.3 + P2.4 Y = P2.3 + P2.4 Y = P2.3 Ta có sơ đồ mạch phân kênh: iii iv + P2.4 Đối với hàng ma trận LED : Ta dùng chân Port nối trực tiếp với hàng cuả ma trận LED thông qua đệm gồm IC 74LS126 Bộ đệm : Điện áp đưa trực tiếp từ giải mã hàng qua cổng NOT vào hàng ma trận đèn Tuy nhiên điện áp khơng ổn định xảy tượng ảnh hưởng lẫn chân tín hiệu đưa vào hàng, dẫn đến độ sáng tắt đèn khơng xác Để tránh tượng đồng thời tránh phải dùng cổng NOT, chân giải mã ta dùng đệm Bộ đệm thực chất mạch trạng thái (tri-state logic), gồm có đầu vào A, đầu Y đầu điều khiển G Trạng thái đầu Y đầu điều khiển G đầu vào liệu A định Khi đầu điều khiển chọn tín hiệu đầu thay đổi theo tín hiệu đầu vào; khơng chọn đầu trạng thái trở kháng cao, tín hiệu đầu vào khơng đưa đến đầu Trong ta dùng loại đệm ¬ Với liệu đưa đến hàng ma trận LED ta dùng đệm sử dụng IC 74LS126 Ta có sơ đồ IC 74LS126: - Sơ đồ mạch trạng thái : I npu Out pu G - Bảng trạng thái IC 74LS126 (tích cực mức “1”): Input 1 G 0 1 Output High Z High Z Khi đầu vào điều khiển G = đầu trạng thái trở kháng cao khơng cho tín hiệu qua Khi đầu vào điều khiển G = tín hiệu điện áp đầu giữ nguyên so với tín hiệu điện áp đầu vào (do khơng phải dùng cổng NOT đầu giải mã) ¬ Với liệu đưa đến cột ma trận LED ta dùng đệm sử dụng IC 74LS125 Ta có sơ đồ IC 74LS125: - Bảng trạng thái IC 74LS125 (tích cực mức “0”): Input G 0 Output Khi đầu vào điều khiển G = 1 HighZ đầu trạng thái trở 1 High Z kháng cao không cho tín hiệu qua Khi đầu vào điều khiển G = tín hiệu điện áp đầu giữ nguyên so với tín hiệu điện áp đầu vào (do khơng phải dùng cổng NOT đầu giải mã) Ma trận LED: Dùng ma trận LED 8x8 để hiển thị nội dung 3) Thiết kế: - Đối với hàng bảng hiển thị: dùng chân Port (từ chân P1.0 đến chân P1.7) vi điều khiển 8951 làm đầu vào điều khiển Trước đưa vào chân điều khiển hàng ma trận, chân Port đưa vào chân điều khiển G đệm gồm IC 74LS125( có tất đầu vào điều khiển) Đầu vào IC nối với nguồn 5V, đầu IC đưa đến hàng ma trận LED - Đối với giải mã cột bảng hiển thị: Dùng chân Port vi điều khiển 8951 làm đầu vào cho giải mã cột Cụ thể: Các chân P2.0, P2.1, P2.2 làm ba đầu vào cho IC giải mã 74LS138 (các chân A1, A2, A3 74LS138) Các chân G2A,G2B IC 74LS138 nối với nối với đầu mạch phân kênh Chân P2.3, P2.4 làm đầu vào cho mạch phân kênh chọn IC giải mã làm việc thời diểm làm việc 24 đầu giải mã (gồm IC 74LS138) đưa đến đầu vào điều khiển G đệm (gồm IC 74LS125) Đầu vào A IC nối với đất Đầu Y IC (24 đầu ra) nối với 24 cột bảng hiển thị gồm ma trận LED 8x8 10 4) Nguyên lý hoạt động: - Trong giải mã cột chọn cột thứ nhất, giải mã hàng quét hết hàng, liệu đưa vào xác định trạng thái tất đèn cột - Tiếp tục quét cột thứ hai, giải mã hàng lại đưa liệu vào hết hàng định trạng thái tất đèn cột Quá trình tiếp tục quét hết 24 cột (do ta dùng ma trận LED 8x8) Với đề tài này, chúng em chọn tốc độ quét 60 lần quét nhiều lần với thời gian trễ đèn 255 us, ta nhận biết hình ảnh ma trận dòng chữ : ‘ADIDAS ' chạy từ phải qua trái III) Các linh kiện dùng mạch: lin dùng ♣ ♣ ♣ ♣ ♣ ♣ ♣ ♣ ♣ IC AT89C51 ma trận LED loại 8x8 IC giải mã 74LS138 IC 74LS126 IC 74LS125 IC 7432 (cổng OR) IC 7404 (cổng NOT) tụ điện giá trị 33 pF dao động thạch anh tần số 12 MHz IV) Tài liệu tham khảo: IV) Tài ♣ ♣ Kĩ thuật Vi xử lý Tác giả Văn Thế Minh Cấu trúc lập trình họ vi điều khiển 8051 Tác giả Nguyễn Tăng Cường, Phan Quốc Thắng Mã nguồncủa chương trình điềukhiểnmạchhiểnthị dùng ma trận LED nguồ chư điề ukhiể chhiể rận LED ( Sử dụng ngơn ngữ lặp trình Assembly): ngơ rìn sse bly) INCLUDE 89C51.MC CLUDE ORG 0H JMP MAIN DB 0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,252,10,9,10,252,0,255,129,129,66,60,0,129,129,255,1 252, 10, 255, 129 60, 29, 29, 255, 29,129,0,255,129,129,66,60,0,252,10,9,10,252,0,70,137,137,137,114,0,0,0,0,0,64,224,208,184,116 29, 29, 29, 66, 252 10, 70, 137, 137 64, 224, 208 ,238,220,184,112,224,192,128,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0 238 12, 24, 192, 128 ORG 100H 00H BEGIN: MOV DPTR,#26 BEG PTR,#26 CLR A CLR CLR P3.1 CLR MOV R7,#77 LOOP: CJNE R7,#0,QANH QANH ACALL DELAY ELA ACALL BEGIN QANH: BEG QANH: MOV R6,#60 #60 MOV A,DPL ADD A,#1 ADD MOV DPL,A CLR A CLR DEC R7 LOOP1: CJNE R6,#0,IMAGE AGE LJMP LOOP OOP IMAGE: MOV R5,#24 AGE MOV R0,#0 MOV A,DPL SUBB A,#24 MOV DPL,A CLR A CLR DEC R6 LOOP2: CJNE R5,#0,COLUMN LJMP LOOP1 OOP COLUMN: MOVC A,@A+DPTR OVC MOV P1,#0 MOV P2,R0 MOV P1,A ACALL DELAY INC R0 ELA DEC R5 CLR A CLR INC DPTR PTR LJMP LOOP2 OOP DELAY:MOV TMOD,#02H AY: OD, 02H MOV TH0,#0H #0H SETB TR0 ETB TR0 LOOP3:JNB TF0,LOOP3 3:J OOP CLR TF0 CLR TF0 RET MAIN: ACALL BEGIN BEG END Hướng phát triểncủa chương trình triể - Thực tế hình ảnh hiển thị lớn cần có độ phân giải tốt đòi hỏi số lượng đèn ma trận lớn nhiều lần Từ đặt yêu cầu mở rộng ma trận đèn Dựa sở ma trận LED 8x8 nói trên, thiết kế mở rộng (bằng cách tăng thêm số hàng số cột) theo nguyên lý tương tự (quét hàng quét cột) nhiên phải thêm đếm, giải mã địa đệm Do đệm đặt đầu giải mã cột nên để giảm số lượng đệm phải sử dụng mở rộng ma trận LED cách tăng thêm số cột ... quét cột ma trận LED thiết kế sau:  Các LED hàng nối chân dương với  Các LED cột nối chân âm với hình vẽ Ta mơ ma trận Led đơn giản 4x4 sau: Hàng Cột Sơ đồ thiết kế ma trận LED Trạng thái LED định... đến chân P1.7) vi điều khiển 8951 làm đầu vào điều khiển Trước đưa vào chân điều khiển hàng ma trận, chân Port đưa vào chân điều khiển G đệm gồm IC 74LS125( có tất đầu vào điều khiển) Đầu vào IC... thị dùng ma trận LED : Sơ đồ khối cho mạch điều khiển ma trận LED x 2)Nhiệm vụ khối: i Bộ vi điều khiển 8951: Đây nơi lưu giữ hàng cột chương trình điều khiển liệu cho mạch giải mã AT89C51 hệ vi

Ngày đăng: 11/07/2014, 18:21

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan