Điện Tử - Cơ Sở Thiết Kế Mạch - Design Trên Máy Tính part 12 ppsx

7 399 0
Điện Tử - Cơ Sở Thiết Kế Mạch - Design Trên Máy Tính part 12 ppsx

Đang tải... (xem toàn văn)

Thông tin tài liệu

~Ci c16 tn.mg thai, sa do logic, m~e du trong nhieu tru'l:tng hqp In¢t ~6 sO del eho phcp ta Ihfiy m~)t each tnlc QU<li1 de ehtie nang eU<l m'.leh di¢n. Trang: chuang nay chung t6i se de cflp lai e(le mo blnh trULl tU~"ing cua 1ll(.ICh. Cae mo hlnh n~ly siS ulrqc Hlghicn ellll 0 mu:e kicn Irue d mlrc ll'lgic. Ole 1110 hlnh IrUll tuqng la de mo h'nh tm1n hqe d~["a In::11 cO Sl1 do hlnh Vi) d<.li so' Bool. 6 mlre kicn true, h~Ulh vi ella m,~eh di~i1 se dut;le trll"U tuqng 116<l thong qU<l \,)p lWp de phcp to(m va m6i quan h~ plW thUl)e gifra ehling. Cae phcp loan co thc' lil. de phcp loan 611ge, u\li so, logic. H;l11h \'i ct'la de l1l<.\eh luan I~r co Ih~ du(?"e mo t,t th()ng qua de olomal co In.\11g thai hilu h'.\11, ho~e d.c h;lm ll)gie trang truang hqp cae m'.leh to hqp. (Joe d¢ cifu Inie ClLa mo hinh S~ dlrge bi~u dien thong qua cae li~1l k6t giiJa eae kh6i \i\gic. d.e tni leh dong ngtll (neu xet tren mere 16gie) hO(le de tili nguyen (1": ,\ \ct Ircn I1llre kic'n tr(ie l. Cie mo hlnh tI"U'U tU\1ng du m~nh d~ co th~ nam duqe de d(le diem ell<1 m'.leh th6ng qua de ngon ngu mo hinh hoa HDL va sa do. D()ng th(~i de ml) hinh c16 cling kha don gii.in de' co tht kieln chCrng de hm.tt d~)I1g elh 111dCh. S 2. Oic ngon ngu mo hinh hoa plutn cu:ng Trong lInh Yl!e thiet ke mi.,leh, die ng6n ngil' 1110 hinh hoa ph[in eung (I-IDL) xuAt hi¢n do nhu call phai c6 eong el:\ mo ta ehinh xae m'.lch \'6 e[lu truc cling nhu h~lJlh vi. tvl()1 s6 nglln nglI 11111 la pllAn cung dlf<;1c thiet ke' voi nhiJng d,~e diem \,~I nhCfllg nwc dieh khae nhall, th;~m chi m~)t so ngon ngu mo t<i. ph<i.n Cl'rng dU'9c plutt trien lU cae ngon ngiJ [(Ip trinh, nhung giila cae ngon ngCf 1(lp trlnh va ngon ngu 1110 hlnh haii phall Cling co nhling di6m kh,lc bi¢t quan tn;mg. NhiJng k.hae nhau nay la do sl! khae bj~t giila nhling d6i IUCfng rna d.c ngon nglf HDL va ngon ngu l~p trinh mo tao 78 o E M6 hinh ng6n ngLJ M6 hinh tn:ru tlfcmg Cae plwp \o~n phll tI,U';C: WJ"~ riC! II,; Sl1 rio tll.11l'\U) T0l19 hup ",E" I,,,, ,'J'Dllfllh" Hinh 4.1 OIC mfl hlnh mach. qua trlnh tbng hop "il 1eli lru 1ll',lch. Sau dtl)' chung la ~C Xl:m xct nhO'ng d~lc di2'm d6. C{tc mo teh ph~in cung co th~ Ilwc hL~n nhL"mg phcp IO(Ul ctJ mere eft) song song (d6ng Ih0i) Ian chn dc phtin Jll~m thl nguoc lal. trcn nhCrng may don xlr Iy chi tlWc hi¢n chrQ"e nhCmg phep lo.in adn t~r. V(: m~11 llilY. dc ng(lO ngL"r m(l Iii rhtll1 cLrng se g<.tn gi6ng V{l'j de ng611 ngCr I:)p Irlnh e1lO cAe may 1111h xLr Iy song song. Ole m{l hl11h ph ln cung luon ph<.ii chua nhiJ:ng th6ng till \'C c{1"U IrLI("' Vi cI~l. <;1/ lic"p xuc eLla mi.teh v{,i e<.ic m';leh khile Jilin n ly : inh ycu c{iu phi"ti mo t,i dc cring vao ra eLla mo teh va khuOI1 (i<.mg dO' li¢u dU'oe trao drii qua nhung e6ng d6. Do d6 UtC ng{lIl ngO' 1116 hlnh hOii phrill cu:ng crin phiii h6 Irq' vi¢c mo 1<"1 thiel k€ ci In.'n gac d¢ h~tI1h \"1 \,~l cAll trllc d~ c6 the' bieu dicn elc d~tc Inmg elli.l m';lch mQt dch c6 hicu qua. Vi¢c x<.\c djnh thl~i gian \'~l Iho-i diem thvc hl¢n eLla c,le phcp Imin hlYt sLre quan In:l1lg trong phrin ciing do c(le lUang tac glO'a dc Ihill1h phrin pilan clmg voi nhau. Trong khi d6 v[in de Illung t(IC Ihco Ihb"l I:!ian it anh huo'ng 16i \'i¢c Ilwc hi~n dc phcp tmin trong dc Chll"O"llg trlnh pit{\n memo Iru Illt)t so IrWl"ng: hqp trung nhu'ng (rng clI,Ing Ih6i gi'lI1 tlll,K. M'.lch di¢n co th6 dU\l'C m6 I:i du6i nhfrng goc do (juan scit kh(IC nhau, do d6 d.c ng()n ngO' HOL \"O"i nhung d,~c trung luang ung eung duqc pho.il·tricn. Tren tlwc I~. khi nghicn CUll d.c m() hlllh iJ mu'c ki2'n truc va logic cua qUit Idnh m() hlllh hoa. nglrCii 1<1 sir dyng de m() ta m:.lch Ihl:o dc goc dc) h:'1111l \"i Vll goc d(l crlu tnk duqc sir d\.!ng. l\1<)1 so ng()n ngO' con giup ta co nH)1 deh nl11n Il;ng h0P, di~u nay cho phep nha thie't ki::" co tIle' d{IC t<.l de chi li61 ella dc phrin nweh cling nhu tung bu6c x&y dl!ng cac m(J hlnh e:lu true ILl" e<.le 1110 hlnh hilllh vi. Ck ng()n ngG: rnO hlnh hoa phSn cung con p1wc \"~l ello myc dfeh lrllyclI liii va tn.lO d61 dc klluon d'.l11g ella Ihic"t ke giG';.' nh~l thic't kc" \'a cong CI.1. Cae ng(lIl ngCr HDL khbng clli duqc phat tr"ltn do yeu du clla b~ll loo.in m6 hlnh hOii ph<.ln cung. De c6 the phc chutirl dU'qe thiet kc', dc m6 hlllh p!dn cLl"J1g ph:ii duq'r: kiem nghi¢Jll qua m(l ph()llg hm)c b:ing dc plHL"ong philp ki~m tra. Cilc phuong philp tong hqp nwch SLr ch.lI1g de me) hlnh trcn de ng6n ngu' HDL lam di~Jl1 XUi.lt phat. Cae m6 hinh nay ph,ii d.ip Lmg duqe lung 1l1L.lC dieh cy the eLla quci Irlnh thic'l kc" m,.\Ch. Vi d~1 nhu Ilg(Jn ngfr ml'l hl11h hoa phfin cung VHOL duqc pho.il Irien \"lJ"i myc dich de me) I.i nhiJ"ng 79 nwch c6 do tfeh hqp sicu 16n, ng()J1 ngu Veri log du\J'c IhicL ke de nllng cao hi¢u qu,i cila qua trlnh mo ph6ng mo.leh. Vi~e Mlp ung nhi~u n1L.'c tieu nlnI v,~y cua d.c ng6n n,gi1 HOL khong Ih~ dat (hn)e neu ta chi sU'a doi c,ic ngon ngiJ I(\p Iflnh phfil1 mem d~ Lh(Xl man de nhu Cl.U ella qu<.i Irlnh mo til phan cung. Cic ngon ngi1 l~p trinh eo the clling d~ 1116 ph6ng hO<'.IL d(lng cua 1119L so Ihiel bj linh Loan, nhung kh6ng Ihe dllllg Irong qu,t Lrinh xfly dl,mg Ihid kC". 1. Nhung di)C diem khac bi~t cua cae ngon llgu mb ta phun eu:ng C{I( ng6n ngi1 duqe dZle trung nh0 cae quy lil.c ell phap. ngiJ" nghT<I d.llwc I": slr dl.mg. CLI philp lien quan t6i utc e(tu true cua ng6!l ."11" \.(\ ctlth~ duuc t110" hi~n qua eac :'luy tAe ngu' phap. Ngii' nghi<l chi fa)' llt_llla clla e,ic thill1h phfln ng6n ngu'. Cae quy tftc ngii' nghia Hic dQng luang ung t6'i nlllIng 11121nh phfin ngon ngiJ thoa man cae guy tae cu phap. Thllc 16 sU' clyng ng6n nglr Ill'l1 quan t6i nhling khia c',lllh kluic ella ngtm ngu, bao gam d \'irn d0 SlT dUll!' \"il tllL,rc hi¢n ng6n ngfr. V6 d'.li the co the chi a ngon ngU l~un hai 10'.li: ng(lll ng,lf lilll lue (procedural) \"il ng6n ngCr 1116 til kilai bilo (declarative). Trong ek ng6n ngu tilu lI,1c, cac ciul'cmg trlnh the hi~n de Ue c!()ng mong l11u611 btmg deh mo ta di1y de bu'O"c e<ln thie'l de tllllC hi¢n c,le tac d(mg do. D6i \'O"i ng{)n ngi1 khai bao, cac InO hinh the: hi¢n cae \ :in de s0 duq'c giai quyct b2mg t(lp hqp cac d(IC t,\. khai hao mil kh(lllg dua r~1 chi 110"1 de phuong phap giai quyc"t Do do trinh IIi' m(l t<.\ de kh6i co : ,'l" kh6ng quan \rqng trang llh(tng ng6n lIgl-r khal h<.lo. C:ic ng611 ng[r m(l hlnh llO{1 phrin cung dU\K phi\!) IlMi dll',\ II':n cu ~.JI goc d<l quan sat dc doi tuq'ng duqc mo t.i. Vi d~l, nhlIng ng(ln n~Ci"lll() I,i Ilu0"L kL:' ,'1 I1lLTC \'(1\ 15' sc dWK h6 Ir(l nhii' cac (1;)c la nhvng d6i luqng hinh hoc nguycn th\l~.', dlC thao tac tren de doi llr<,mg d6. Chung La chi bp Irung \"<'10 nghll'll CUll bitiu (hen ill() hlnh m':.lch lUang uHg v(1i cae goc d() qllall s,it h~\J1h \'i vii du IfLIC. Thc:o khia qmh d6 la Cllllg nghien cu'll nh[ing ng(Jn ngQ: thieh IH.~p tU(l'llg Ll'1lg. Ph:in Ian de ng(m ngiI llDL dell h6 tn1 cd. hai d~llIg mo Iil. 1m) hlnh theo dlc gac dt) hanh \'i \'ll cau true. Clc ng61l nglr HDL thuang dU'<;1e phat lric'n kcm theo de bQ 1116 ph()ng. T6e dl) tlWe hi¢n Ja n1(Jt trong nhu11g yeu call doi voi b<l mo ph6ng. Cae 80 Ihu(lt lo.in m6 phung Iheo sL! ki¢n ouqc Slr dl,lI1g 1"91lg rai b0i VI ehung eho phcp to mCl phllllg giiim lhicu ;;61U<;l'llg de t[nh toan vi:t do d6 l~lln gi,'un thl1i gian tlll.fC hi¢n m6 phllllg. Qu:i trlnh mo phung bao gom vi¢c tinh de gia tr~ ella lin hi¢u trong !ll61 kho,ing thai gian xac d~nh. Khmlng thai gian n(IY ctmje chia thi:mh cae khung Ihi)·i gian. Trong moi khung thCii giun, chu tl"lnh ll1{l phlmg bao g{)111 cae bl.J'(k ,>au. T(n hi¢u duqc Ian tru yen trollg m.w]) va dU0C dip nh':I1. Tal d d.c qua trinh nhi.IY C,lll1 Vt'fi cae SLI" ki~n duqe llllh tmin cho 1(li khi ehClllg du·qe dllng It.li. Kill 1[1\ d e.ie qU<l trlnh I(nh loan oJu dung L lj, thi1i gJan Imng b(l m6 phong du'qe ehuyen sang khung tiep Iheo vi:t hinh lh:lllh ehu lr1nh tnl) phlmg m6"i. Phucing phCtp mo hillh hoa m<.lch n6i tren elll tong quat oe eho phcp mo 1,1 ciie l11'.leli d6ng b() Vi:I kh6ng dong b¢. Trong de nwch dong b() t[il Ut de quCt Irlnh ell the duqe kfeh ho'.11 tai ll10i chu k)' dong ho. Neu thai gian 11"6- ella e,ie Ihi\ilh ph[lll m\lch c1ii bie~l. chung e6 Ihe oLrqc d(le t,i nhu' 11191 thu(Je linh ella tin hi¢u \'(\ h9 1110 phlHlg e6 Ihe Illl) til chinh xac hanh vi thea thai gian ella m~lch. Trong tnrlmg lWp de thai gian tre la chua biet, nguai thie! kc~ horle ph,'ti tim nhCrng thong tin \'c thoi gLan tre tit d.c mo nhlnh hlUlh vi, ho,)c dua 1'<\ dc gi,\ Ihi61 \'ll r~ng hu()C vc Ihh·i gian tre de tlwc hi¢n de phcp loan Irollg kllung thoi gian xac d\tlh. 2. C:ic ng(m ngfJ nH) t.i diu tnk ph{in clrng M6 hlllh dW1C me) 1,1 b,\ng Ciie ngon ngt1' Gl'u true the' hi¢n de kc~t noi git1'a de ph:in llf. Do d{) dc ngon I1gu: n~IY c6 sue lll<.\nh b:cu cum tuung tl;I' nhu cae so d6 mach m(lc dlL nhlt'tlg d(le dic'm eua ngon ngu eho phep cung dip nhlIng me) 1 1 kh<ii qU:lt hall. eie h~ thong thu b(tc trong ngol1 nglf eho phcp 1 10 de \l1l'l hinh e6 I(nh mddlln hmi va ng<il1 gqn. Oic Ihilnh phan cO" sci c0"n~~cful~c~~p~~n~n~O~~_~~ ngtJ' khai bao ( declarative ). mi~c du tn9t so ngdn ngi1' mo la GIU true c6 chua nhlfng thill1h phfin thu, tl,lC. etC bien trang ngon ngi1' luong ung voi cae celng ella de ph:in ILf. 81 a b Gl 0,' y " "x "'" G2 0, y /' ca rry su m Arl'hitcchturc STRljCTURE of Half Adder is Component AND2 Port ( x. y: in bit: 0: out ): End compom.'nt Component EXOR2 Port ( .\., y: in hit: 0: out ): End ctJl1lpollt'nt (J 1: A"lD2 Port map ( a. h. carry): (;2: EXDle l'or1 lIlap ( <l. h. ~llm ): End STRUCllIIU', T],Ollg lll(l hlllh nil)' chlra hai khai h,lo eLla m6 hlllh kh;ic l~l Al\02 \'~t EXOIC V;I hal kh(ri 1,.10 m(l hinh 1;\ (j I \';t c/1. Th6ng tin Cl.llhc \'c dc m() hlnh A: JD2 \,~l EXOR2 dwyc khai buo 0' 111()1 \'j trf kh{IC. YI dL.l nhu trong c;le thu vit'll churin. . BUS - INV ."putl'): l)',mg: khac eua hic'n lit de sieu hi6'n. Cic hiC,'n nity dlti)'C dllng de Ji\m 1116 hlnh [11'.lell g()11 hlfll. Vi d~l \\~ (,ie '"puI(2) ,. ,,,putln) Ol.tpu!( 1) ~ outpUl(2) output(n) IIlnh Ll ni~lI di~11 Celli Ink Cll;l m;\I1f' dc b6 d.\o lill llll:lI hi6n loai n2ry C() Ihe III eac chi sf) ella m,ing. Oil' IO' l1 hien n,IY khCmg hiC:u dicn trlfc tic'p uie Il\anh ph:il1 ella ph[in elm,g \'21 duoe loai Il"ll" kill')] Ill() hlnh "all bu6e dicit (tiu ticn. Vi til.! \"e CiIC SiCll bic"n: Ta xfly dl.rng m6 hlnh ella m,'l1lg :'1 bl) d,\o lIn hieu n6i giu'a hai luycn tin hi¢u h,'mg ngl'ln I]g(r YHDL. Tlr kh6a gCIIC/"IifC cha ta 11111~u phicn b,in clla hi.Jn n)lIg !{Ip I: Architecture STI~UCrUI~E of nUS_INY b (:ompOlll'nt I~YEKI'ER Port ( i 1 : in bit ; 01 : out hit ): End component Bt'gin Ci: for i in I to 32 ~encratc I:"JY: Il'\YERTER port map l inputs( I ). output( I »: End generate: End STI{CCrCI{E: Cile 111'.ICh 16 hq'p eo th~ ducK 1l1l) t:1 bang t~IP hq'p de phfin tLi logic \'d t(tp !WP eae phuong trinh. Ciic cau true l1lty li~n k0"t cae bil:"n thiinh cae bi~u thtre \()gie. Phuong thll"C khai h.la Lrng d~lng tot nhaL eho lfUong hqp ll1() ta de mach t(l h0P - nbung m~tch dW)'c mo ta kh6ng can b9 nha. Th~]t \·£ty. de l1l~lCh t{; iH:J"p co Ihe eoi la eac ghcp n6i (\'e ll1~lt du true) ella e,IC [0,111 tll". lrong do m6i tmlll tLi xae d!nh m6t h~tln 16gie. OIC llX) hlnh n~lY kh,te \"6i etie m6 hlnh C~lU trllc 6" eh6 kh6ng eo tLfl1ng quan "Im)t IIlt)I" giGa de bi(:u lillie \,:1 cae cl'lng \6gic. hoi \'i d6i \'6i 1l1()1 :-:6 bic'u thdc. .~c kh6ng t6n lai ph,'in Il]" logIc thlfC hi¢n bicu thl]"e do. eie ngl'ln nglJ" lilLi I~le C() th~ su d~mg de m6 I,'] de llMeh lel h~)p. Ph:lI1 J(m l'ilC ll~()n ilglr "([iu tnk eho ph':p I!we hien ph':p gan nhi6u l,'\n \'6'i tn()1 hie:"ll. Dc lranh SL.f m(ljl mi) \'~ gi:t Irj bi6n, lrong de ng()ll ngiJ mo [,'I ehCfC ni"lng U') cae en ehe gi,ii quy :·t ll1(tp mo. \'1 d~l nhU nhLing ph<.':p loan Still "c x.lxi hl) l,lC d()ng ella phcp lo;:'tn trucK. Ta xcI \'l dl.lnhu sau: nll) t'-I b() nua 16ng tren VIIDL dung ma hinh hanh \'l: Architcdure BEHA VIOl{ of HALf- _ADDER is Process Begin Carry <= ( a and b ); SUlll <= ( a xor b ); End process; End BEllA VIOR: Trong "I J~l nZlY, ben trong kh6i gi6i IWn hoi e~p tit khoa Process va End Process de hidu Ihtl'c ouqc th~rc hi~n 11191 d.eh tu£ln tl!, Hai phtp g,il1 luang Crng \'ll'i hai diu truc trong 1116 hlnh b() l1lIa tong. J'rong Inri:l'ng hq'p m6 hlnh hoa de 111'.Icll dong he), phong each ma hltlh hoa ch!u ,inh hu'ung n~\Ilg n~ boi ca c11(:' (heu hoil thi)"i gian clla ng6!l ngD:. NhCl'ng phuong phar 1116 hillh hoa d.e m,.teh I{) h0P e6 the' du'qc m(l n)ng clIo de m~lCh turin I~r. Cic mach tu,ln tl! co th~ dU(IC me) I'i. dva vao t,~p hor dc phcp g<ln ella dc hieu Ihuc. eic doi so Irong dc bicu Ihuc 1:1 dc hien Hinh 4.4 "1aeh loe ~O tmy hoi. Clll1g "oi t!lui gian In? dong b(l. M6 hinh nay thui:1ng dU0c Slr dl,lng cho cac b(l xu Iy tin hi~u so ho~c cac duung Iruycn dO' li~u dong hQ. 84 Ta xcI vi d\l ve m'.lch 10e s6 truy hoi. Cae bien ch{t'a gia Irl thai gian tn~ du'qc ky hi~u hoi @ va di thea sau la giu trj thai gian tre. Khi do m'.lch lc.lC co the bicu dien nlm sau: Function IIR (a I. a2, bI, b2, x : num) /* Gia tr! tra h.li ",. / Begin y: num::: y = mid + a2* mid@l +b2 ':'mid(w2; mid::: x + ul*midqill +bl *mid@2: enJ. . trucK. Ta xcI 'l dl.lnhu sau: nll) t'-I b() nua 16ng tren VIIDL dung ma hinh hanh 'l: Architcdure BEHA VIOl{ of HALf- _ADDER is Process Begin Carry <= ( a. elli.l m';lch mQt dch c6 hicu qua. Vi¢c x<.c djnh thl~i gian '~l Iho-i diem thvc hl¢n eLla c,le phcp Imin hlYt sLre quan In:l1lg trong phrin ciing do c(le. ng6n ngu'. Cae quy tftc ngii' nghia Hic dQng luang ung t6'i nlllIng 1 1121 nh phfin ngon ngiJ thoa man cae guy tae cu phap. Thllc 16 sU' clyng ng6n nglr

Ngày đăng: 10/07/2014, 02:20

Từ khóa liên quan

Mục lục

  • THIET KE MACH BANG MAY TINH

  • MUC LUC

Tài liệu cùng người dùng

Tài liệu liên quan