Điện Tử - Cơ Sở Thiết Kế Mạch - Design Trên Máy Tính (Phân 2) part 18 doc

7 175 0
Điện Tử - Cơ Sở Thiết Kế Mạch - Design Trên Máy Tính (Phân 2) part 18 doc

Đang tải... (xem toàn văn)

Thông tin tài liệu

hi~'ll duq\: 1t)1 r Vi d~1 n61 Lrcn eho 1!l,IY rtmg, ll1~lC dLI ehu()1 vcelO' gl,i II"! Illll' ngbi¢lll T eh:lC eh:lIl rldL hl¢n dU\iC It'll g, nlumg ehllng la x,\c d]llh duo'c c:.'tc CUll hi¢u ella h)i 1l1,)1 eieh kh6ng dn'll gi:Ill, Th,~L VZIY, ehLmg la kh,'lllg Ih~ khfll1g dinh rtmg 1,.11 llH)1 \') Lri n:iO ,tl) lrollg d,-IY d,ip Lrng, m:,lch kh6ng bi It'li so: eho ra gi,\ Iq 'I' Y:I milCh elll'ra lill .,0 cho ra gi,1 Irj '0' ho;1C ngw:!e lai. Thay \'il() d6, clllilig la ph,li lid J c ra I11l~1 d,IP L(llg ell Ih~ e6 clla mach hll1h Ihu(H1g ":1 l11:.IL.:h elufa h11. l'<hu'llg di('l1 nily [;i khling Ihl,TC k'" vi d6i \,()'j lllilCh IWlll I~r v6i /I pldn Ill' nh('i ",.,: e6 ~" kh,\ n:lllg Cll<l Ir',I11&, Ih,ii kho'i (f()ng. Th.:':111 !lCTa. ehLl!1g 1;\ e~ln ph:!! '\,ic dillil qllY IrlIlh J idm Ira cho 1l1l~1 Ihid k0", Nh~\ Ihi61 k0' ph,ii tlnK hi0n dc pb0p 11m qua de ch Ill \"~\O ra ell;1 mach \'~\ so sanh de chip Lrng do (t.IC dU\l'C \\')'i nhCfng ,tip l"fng mong lllu6n 11"":'11 1~' thuy61 dCii n'li ti:rng \'ceW gl<i Lr! ki":;m nghiel1l, Khu \,,}y c;ie (l:ip Lrng mong muCin ph,ii ehrU'c x,ic djnh tru\\c \,;1 suy ra ci nhCrng ,hip Li"ng ella 111,,1ch chLfa 16i cling ph,li dWK x:ic ,1inh ho:1C elty (h).in lhro'C. j:X~ Ihl.l'e hien dicu n.ly, clllillg la ctua ra d!nh nghl<l ct;1 du\)'e [1111 )'C\I di clla kh.ii ni¢lIl ph.il hiell Il)! d6i \('~i m,.\eh lufin I~I' nhlf sau: Chu6i T de n:l"l(1 ,f!l'\ II"! kiL;m n~hi~'lll ph,il hien duO'c illi r 1\0\1 \':1 chi IIlYU cilli "(Ii mbi C:,IP Ir'.l!lg thai kiwi d()ng co IhJ c6 (/ ":1 1/ 1 , c.le chut'li {.tip lll1g H( (/' T) \':1 R,.( (/' T) kh:k hid nh~lll ell)i \',ji lIlQI \'ceto' (, ql IhJ n;lo d6 Iml1g ChUlll T, DJ x,ie djnh du'(/c \'(.:(10' t, khl 1,)1 f c6 thc quan S.lt du,:c lai lUU ra ella mach, 1I11)1 deh (I,)c 1(1[1 \'(ri de Irang Ihai kh,)'i dQng (/ \'(1 III' dc ph":p kicll1 nghi~m Ihulmg dune Ilure hl¢n lJua hal gi<li doan kh;ic nhau: Tro11g gl,\1 doan IhLr nh:iL Chllllg 1.\ d~IL ehu,'li \'CCW gi:1 Iri khl)'i ,t()ng 1'/ \,:10 maeh sao eho sau khi Ihl,re 11I¢n (10'11 CUt'.\! dlll,)1 1'/, 11l'.lCh N vi" .N , du,!"c elll'a \'C hai Ir:,lllg Ih.li el:l hi.:':"! ({I \'(1 1/ ,1 , etc etip Lrng ella 11;li m,Kh N v;\ NI Irnng khi ;,ip dl.,lng ehu,\i Yl'clO' T/ dUl~L b,l qua \"1 Chltn):'. kh(lJlf!, tiLl' do:in du,!e. Trong giai (\(\111 lill!' hai, cluing ta d~ll ehu6i vceln' 1't, V;I c<i( d,ip lrng R( ttl' Til ) VlI R I ( (III' I'll ) III C,-tc chu(\i c\v (1o;1n dU(,ie, Thlll1g Ihw'mg vecto gia tr! kiem nghi~m tj 1~1 veela diiu tien trang ehuoi I'll m:1 klli cl,)t vao Il1'.lch ~C ph,it hi¢n ctU\)'C li)i. Phuong ph,ip n()i Iren dc kicIl1nghi¢m va phi.il hicn It)1 cho m,.teh \Ufll\ It.1' lil.fa Iren C("i '-;()" gi(\ Ihie't rang ton t<',li ehu6i khai l<;to 1'/. D6i veii hau hc"1 cae mi.,KIt su dung Irong thvc tc" dell Cll tbe' lim {hrqc ehuCii vcctd gici trf kh()'i t'.IO, chuDl nay cho phcp m",leh bat dfiu ho,!-t uQng tV nlt)1 tn.ll1§!: Ih(li eta hiel. Th611g Ibuo-ng dc m<;tcb sc duo'c thiet kc sao clio chung c6 the de di:\I\g duqc khC)'i ti ID. Phuong phap thong thuo-ng nhat Ii:\. Slr dl.:ll1g cac duol\g tin bJ¢u kh(ji 1<'.10 va d~\t gia tr! (tau eho tiIng phau tu trigo. Tuy nhien, chuCii vcclO gi(\ tr! khC)'i t~\O cho 1l1\lch khling clIth\ loi N e6 Ihe killing dap ung \'oi viee kh('ii lao m'.lch N, chua loifnao do. I'\hCIng loifnhu \'~y duqe gQi la Ic)i ngun eh~m khrJ"i t<'IO, Ta hay xet llll)t vi til;! \'C nhCIng 16i ngan chim khai \,.\0 da dC C;~IP tOi. Trcll - 0 0 - c NO - R __ 5-8-1 Innh S.IS. t fillh !lo,.\ I()i llg,m ch;m khoi lao. r- hinh 8.15 dua ra so UO eua triga D duue kct n6i de t".IO thanh bQ dem 1 bit. Tren duong lill hi¢u R XUitt hien loi hi.l.ng so .1'-0-1. Chung la Ih[IY nlng tni.Kh kh6ng chua Idi N hoan tOi'tn dW1c kh6'i ti IO hai tIll ll1~u R = '()' , nhU11g voi mi teh chua 16i htlJlg so RI1 th! kl!6ng the kh6i lao I1Weh \'e tn.lJIg thai do. Chung ta c6 the ,-;illh fa day dc \'ceta thtl nghl~m T dc phut hi¢n loi ngCl11 ch~lIl kh6i ti,lO tr~lJ1g thai btmg dch phan tieh mOt cach rieng bi¢1 moi tre lIlg thai khdi 1,.tO khi XUllt hl~n 10[, nhu'lIg noi chung. phu('. "h{lp nay khong the ap dl,l11g ctu"Q'e tren tllLK k. Do do d.C loi ngCIl1 dl~n khai 1i tO tn,mg thai pl1<:li duqc COL la khtmg philt hi¢n c1U'\Je neu chi dung de phcp kiem tra qua die ehttn vao/ra clla 111<.1ch \'oi dc phcp so sanh tren toan b\) cae driu fa. Tu~ nhicn kh6ng giong nlm trong lruang hqp doi VOL nwch It) h(!p, dicu nay khong co llgbl<t Hl mi teh dien co Iinh tlu Ihtra. Chung la cCing nen bic", I"i'i.ng uic loi ngan ehi)n kh()"i li.to Iri.lIlg thth co the duqc ph<it hi~n b:1ng nhung phu<.mg phiip ki~m nghi¢m khac. §8.3. C~lc phuong phap thu~t loan tong hqp cac gi{l tr~ till]: nghi~1l1 Nhlf chung ta da de dIp loi trong myc trl10e. h;'mh yi ella Ilwch khl XUfll hi¢n loi pbl,llhuc)c nbicu vao ch{re nang clla dc m,-wh logic khi kh6ng h! loi. D() phu:e t<.lP clm \'i¢c 11m nhung h<) gia !ri thu nghi¢m ph:l! hi¢n loi plW thuoc nhicu vao Imu mach: m<.leh to hQ'p hO(le 11l<.Kh tu:i.n 11,1". Nlliing m<.lch lu[in II! lit nhung nwch cbua cae vong ph<.in hoi nen \'i¢e m6 hinh ho(\ ehu'c niing CLla nweh cling nhu \'J¢C X[lY dl!ng cae he) gia Ir! Ihu nghi¢m phuc lap hon [AI nhicu so vO"i Iruo'ng h9P dlC 1TI<.lch 10 IWp. DQ phu'c t\IP ella de phuong ph:lP 1,.\0 dc bQ gia If! phal hi¢n I()i cling phl,l thuQC r<lt nhieu \'ao 1000.li It'li dang nghien cuu. Trang khuon kho gi.ia Irlnh n;'IY. chung toi chi gi6i han trong \'i~e nghien cu'u cae phuong pbap t,-~o dc b() gia Ir! thlr nghiem phal hi¢n loi doi \'oi d.c mach U) IWp ya cung gioi Iwn lrong Yi¢c xci de loi htlllg s6. L Phuong phap 1l.m trcn sl! kich hoat du(mg tru),cn etc phu'o'ng phap t<.IO day gia Ir! thu nghi¢m co the ehia Uml hai nhom: Ck phu"lrng phiip 11m c.ie vceW glii tf! (hu \'~\O co the pl1<.il hien ll19t loi cho Irl1Ue. Oie phuong pluip tlm dc loi e6 Ih~ ctuqc ph.il hi~ll sLr dl.mb'- m(ll ycc1<.1 gi<.i. tf! kiem ngbl¢m eho truac. Cae pbuogn ph.lp thu(K nh6rn n~IY con duq'e gQi I.l cac phU"O"llg phap m6 hil1h lto.lltH. Tfong l11yc n~IY chung ta nghien cu:u e ie phuong philp Im.li Ihut)e nhom lhu nh<.l"t. D6i tu(.mg dUQ"c kiem nghi~11l trong phun mlY 1<.1 de rn'.lch I(~ hop vii chung ta chi xet dc m6 hinh loi htl!1g s6. Cae plurong phap 1,.10 Vl:eto" gla Ir! Ihll" nghi¢m cha c,ie lei co the' co iO,!i tru: cac 16i du thlra duqe f:'.9i I~I cae phuang pluip thu(lt loan. t ;bu v,h, m6 hinh hmi. 16i khong the gqi lil phuong pbap thu(lt loan VI neu qua trlnh Illl) hlnh hmi kh6ng ducK tlul"c hl¢n ,,6'i I1H.)i kh<i nann co the co cLla e,i.e vccla oia tri duu V;'IO chuH" ta kh()]}n Ihe d un eo eo.' b eo bao dU\K \'i¢e tlm fi.l c<.ie vceto" gui trj kie'm nghi¢rn cho I:il dt dc loi e6 Ihe du"O"c phat hi~n. Cie phml"ng pllap Ihu(lt to<1n tHO cac \'ceto" gl<llq tlHf nghi('lll de ph.ll hi~n liSi dlrl)"e slr dl.lI1g 111~1l !lay (teu dVa Ir0n khai lIi¢lll kfch 11(\\1 dU(l"ng Ifuyen. 264 Kfch 110<.11 lhrCl'ng tru),cn 1<'1 phuong ph<ip Xilc djnh cac \'eclO giil Irj IhLl' U ______ d~ ________ _"'_f ~ , nghi¢m d\1'a Irell \'i¢c 11m dU'l)"ng mil theo do '>u khilC bi~1 giua cUc gi,i Ifi llll hi¢u khi mach chua I(\i \'~I khi lI1<'lch \ h6ng c6 161 dLI(jC Ifu)'en 9 D , , 0 D b c D y 0 D h k D z V , IIlnh IU6, \iillh hlla khiilllicm kich hll<.lt dlrhl1;:-: lnIY~I1_ ra ben ngoi:'i. N6i deh khac Iil. chung 1a phili xac dPlh dw)c dc dlf('mg d[111 m[m dm Yo-i 16i, Vi ell}. xci tmwll 16 hQ'p II"CI1 ll1nh H, 16. gia thi(1 dlllg Irong llli,lCh XU;'II hi¢1l Ini hf\l1g s6 s-</-() Ircn clU'011g (' I~I ej(), D~ phat hi~Jl dunc I{H n;l)' ta dn x,ie dinh \"I.~ell1 gia Ifi d[iu yao ",ao eho IfCI1 duo'llg (' nh(l11 giii In IiI /( tlt'C I~\ gill Ir! nghich (tiO w)'i gill Irj It'1i ), Di':u n;IY sc (hln Il~i gia Ir! I en dLIong h ph,ii btmg '0' suy ra gi:.t Iq Iren du'ong \'.10 yeung phiii klllg '0'; e = '1' => h = '0' => v = '0', n_,li IU"<;Jng e6 giii trj bang 'I' khi m~leh kh6ng 161 va hilllg '0' trong Inri'mg hq'p ]11',I(;h co 16i (hroe ky hi¢u Iii f) ( defect - lui ), Tro b,li vi d\1 Ircn hlnh 8,\ G. ehlll1g la th,t)' khi Ifn hi¢u Ir2n chrong y co gi,j Ifi btlllg '0', dU0ng Illl hi~ll c sc nhi~n gi,i Ir! btlllg. 'I'. Trong IrU'ong IW'P XlliYt hl¢n loi hiing s6 S-({-O. chl'o'ng I' sc nh(ln gi,i Iri e6 c1!nh btlllg '()'. noi each kh,ic trcn duong lin hi¢u (' xuf"l hi¢n gia Iri D. NIH!' \"~y. chung la c6 ml)1 so nh~\ll xel nhLI sal!: Neu duh'ng tin hi¢u .r = '0' Ihl (hl'lmg I nh[1Il gi<i Ifj D. suy fa dLI(\l1g tin hi¢u g va Ii cung nhrln giil Ifi f); N61 (hr(l'ng tin hi";u 1/ = 'I' thl dll'bng i nhiltl giil tfj /); De gi,\ tf! D xU[-It hi¢n 0 drill ra : ella m',teh ( 11'(;]1 clut'mg tin hicll k Ihl duc1'ilg tin hi¢u.i ph'li n!1[\Il gi<.i tri '0' suy ra dUling lin hi~Ll (' pi1,'ti nh,~1Il giLl Ir( 'I' Vii duong Ifn hi¢u \' nlltl1l giLl tri 'I', Chung ta 111[1),. voi cae glil trj tin hi¢u d[iu vao .r = '0'. v = '0'. /I = 'I'. \' = 'I', gi,i tri D xu:"1 hi¢n tfen dLIi'-mg lin hi¢u (' se Ian lruyen 16i du'<,l'C lbu nt, Nhu \'(11' dlfi1'ng tin hi¢ll k se p11,I11 anh dSy elu Il1Qi hic'n dong tren du<\ng c, n6i deh kluic c1uong Min ('~rg-i-k 1;1 dut'mg dan m[1Il dm H1i loi, Nhu V(IY, de gii.l tri tin hieu.r = '0', y = '0'. /I = 'I'. \' = 'I' t'.\(llh~lllh vecW gia Ir! IhLf llghi~m ph.:il hi¢n I(\i htlllg s6 c/O va duong tin hi¢u ra nh(m gia trj 'l' lrong InrCing hem Imwh kh6ng hi 16i v~\ nh~m gi<i Iri '0' khi xual 11i¢l1 16i c/O, 265 Trong tfU"tillg hqp trong m'.leh xufit hi¢n It)i htlllg s{:i .1'-11-1 1;1111 eho dUtmg lin hi¢u nh(1J) gia lrj e6 djnh '1', trang djnh nghlil cLla dai luqng f) dc gi<\ lrj '0' vii '1' doi cho cho nhau d, thay cho khiii ni¢!ll [) ta dLI<l \'~I{) khiii ni¢m /5. Oic gl;l Iq [) V~I i5 nhi~n clu0c tr6n nhung dUling hj It)) gqi 1:1 Ulc khi/i-D. Quit trlnh truyen cae kJ/{i'i-f) t6i dau ra eua m'.LCh goi Ii ph~p lfU,y':n lJ va thu tue tlll.rc hi~n phcp Iruyen D gqi la Ihu ll.le ehlly0n D. D6i \'6i Ilrng p!lein Ilt 16gie c0 Sl1-, qUii Idoh Ihiel Ii)r v~t Iruycn D Iii qu,) 1=C)' !~_c ~[Y :'==[Y- !~ ~D" Irlnh x,-Ie dlllh v~t d~11 cae giil If! IUOIlg ling li,li eile deiu vitO con Ii.li cua rhfin IU khi m(lilrong cac eMu vao ella ph~in ttl hi loi vii nhf\l1 gi,i tri D IllnIJ X.17. 'll1l1 IliC c1IUYCIl [) qlla c;ic pJdm Itf logic co b;lli. 110i)e /5, sao cho gia trj n (ho~c i5) dLI0c Iruy':n nguyen vt;n 16i drill ra ella ph{in ttl'. Tr6n hlnh 8.17, ta th[IY doi \'oi cae ph,ln tll' AND \';1 NA:'-JD, phcp Iruyen D Ja thiet 1~IP tren nhiIng d~iu vito khong bj 16i nilting gi{\ Ir\ hung' 1'; cl6i \'ui de phfill tu OR viI NOR - lhiet Ii)p gia trj '0'; lrang khi do d6i vO'i phtin IU XOR, phcp lruycn D lL10ng ung v0i vi¢c (hie't I{lp Iren cUu \'~\o con le.li gia Irj bill ky trong ti)p hqp I 0, 1 J .Chung ta nh~U1 Ihay d(ii \'oi doc plli,ln llr NAND, NOR, XOR, gia tri tn~n d<lu ra bi (hio I<,i: V > D, D >V Dlya Il"l~ll kilai ni<;m kJ/()'i-D. cae phuong ph{lp 1'-,10 \'ecto gia Irj kiJm nghi¢m pilat hi¢n dc loi hAng so dlPl Ircl1 s~[' kieh ho' It dU'i)ng truycn lin hi¢u \il Ihi0'tl,)p <luong diln man cam vO'i [oi dLIqc thl-!'e hi¢n qua ba giai do"n: 266 1. D(:ij \'O'i nhling lui hAng sO' tren lllQl dLIong lin hi¢u x,ic dinil. chelng la lhiet 1~IP kJ/()'i-D tren phan tlr nh<:l11 duong lIn hi¢ll l~l!ll (hlu \'ilo \'~I xi.le dinh cae gia Ir! tlIml man kJ/(J'i-D do ( thu tl}C ,hie'l h)p D ). K~I qua eua blrC)'e nay IiI xac djnh dU0c cae gia Ir! deiu vao Iren ph:in IU Irl!c tic"p chju ,-lnh Inro-ng eua loi. 2. Gia lrj ella kl/(ii'-D dLI0c dlla ra dtiu ra eua toan mi.\eh btlllg lhu Il.IC Ifuycn D. Ke't qu,\ cua buac nay Ja xac djnh dLIqc dllong diln man dm v6i loi de truyen gi<.l tr~ D taj d,-lu ra. Trong mQl so Illi,lch co Ih0 XU<ll hi¢n nhieu duong d,-tn mall cam v6i loi ( 1l1{)1 IrLIo-ng hQ'p de tililY kili c<ic phfin tlr logic co tile c6 nhi6u hon hai d[iu vao ). 3. XCie d~nh e~ie gi;i Irj ctlu \';10 tlfO'ng thieh Vlii de gi:i tq '0' \,~I 'I' nh;)n dUne 1rollg qu<i Irlnh Ihi":'l I;'\p D \':1 trllycn D. Cic hlrl1e 2 vi\ blrO'e :; dU9'e 1hue hien dll'a v;\n nhiIng Ihao t;ic l'hinh nhu >;:lU: Thao t(le IntY~Il-n. Phcp suy dien. Phcp qU'ly lui. Phcp suy chen c.i.n Ihi2't de <Ie djnh gi,i Ir! tren nhiIng chl'tJ"ng 1ruycn tin hi¢u 111,)1 e:ich tiuy nh:il Iheo gi.i trj tren nhiJ'ng dm\ng till ll1~ll khae. Dicli !I~ly co the c1U\.K· minh hO;.lixlng VI dl.11r":n hinh S.16, n Yu gi:i Iri li.li dll"(\lIg lin hi¢u f (hl\K thie't \;~p b.\ng '0' Ihl suy ra gii Iq Ir':n dl\'(\ng Ii "it g cung duO'e thiC't \;lph htmg '0'. Then ehuc nfmg cua ph:in Ill' AKD. nC\1 (hrc1"ng g du\'l"l' Ihie;t li~p h,c mg '0'. Suy ra (hrong i : 0 tlm)'e Ihie't 1;1[1 hflllg '0'. t\'L)t kh.ic (1 :' thie'l \;lp tlm)'e (hrO'llg f gi~i trj '()'. gi:i In Irell dU(1"llg II \"i:t (' eh,k chtm ph'll htmg '0'. Ui.i tr! 1r':n cluo-ng (' htmg '0' sc suy ra dlfong h ph;li nh(11l gi.i Iq 'I'. Ta c6 Ihe t(~llg kct qu;.\. trlnh >;uy dien trcn nhu sau: f = 0:::::::. h = (), g: = (1, Po = 0:::::::. i = 0: r = 0:::::::. a = 0. c = i,l: " :::: 0 :=;> b = !. Ph~p quay lui 1~1 phcp to.in thie't \')p &1;1 Il"]lr':n de d<.lu V:IO ella mach sao cho kh6ng (() tn.lll Ihu.ln \'oi dc gi,i tl'! [<Ii de c1uO'ng truyen trong nweh. Ph':p lo<.ln n;IY kh~ic \'6i ph':p Suy di~n noi tren I~I kc't qUit (() Ihe' kh()ng duy nhS\. Vi cll.l nhu 1ren hinh S.16. nc'tl dU'lJ"lIg.i nh(lIl gii Ir! btlng '0' Ihl [heo ph~Jl quay lui ta Ihic't l~lp Iren (hrong II \';1 (' Clie t() hop e6 [h~ e6 1;1 ( LO ). ( O. 1 ). l L I ). Vi¢e Iva chqll de gi:i tr! phll IH/P phu thu,:'}C V;IO tlfOg IrLl'll'llg l19'p Cl.l IhJ \,~l dl.ra V~IO de 111.~1 iL.ra eh9n. Cie lU;)1 hfa eh(~n c6 thJ c6 llhung (k)c diem nhu SilU: Cae lu;)t iL.ra eh91l eo th~ Iii nhung IUi)lnhu S<lU: • Chql1 dUll'Jlg tin hi¢u g[ln \'(~i d:ill \'(10 nh:il; • Trong trlfong hqp gi.i 1r! lin hi~'ll tx\ng 'I', ilya chon du6ng Iruy"::n ehlra ph:in Ill' OR: • Trong: truo-llg: h9"P giu trj tin hi~u b.\ng '0'. !Ira chqll chl'(\ng trlly~n chua ph:in til A'KO. Vi¢c dU''-1 cal' lu(1t I~ra chQn d.n thiet ngay c t doi v6i qua Irinll Irllycn D. Trollg: trlfo-ng hQ'p !lCU 0 d:ill ra Clta ph[in ttl' eo riS nh,inh Ihl ~0 khung dllY nhi.lt [wng \'i¢c ehqn duong. Trong nhicu trlfong I19'p kinh nghi¢m clio Ih:ty nen chqll duong tin hicll g ill (t:ill ra nh;'\\. 267 Trong qUit trinh truycn D thong thuang sc kh6ng Jfiy Ju ncu chi xCt mOt c1uO"ng tfuyen. De gi'-li quyct c:ic vfin Je \1,IY sinh khi chi xct l11¢t dU()'ng truycn D duy nhfll, tfong nhicu tnt'O"ng hop chung la dn ph,ii :-,u dung nhieu oU'lmg truyen D kh,:\c nhau mOl c:.teh d6ng thiJi. Trong VI d~l du6i J.ly, trong tn •. lch c6 the' xuAt hi¢n 16i, nhung h\i n~ty kh6ng the philt hi¢ll (hlqc ncu ell! xet lTI<)t duong truy~n D. Do d6. neu dc duong tin hi~u c6 ph~H1 nh{mh, n{li chung chung 1<.1 ph,'li xem xet vi~c truyen gia td D theo nhicu QUang Jan kilac nhau. Ta xct VI ell,! Iren hinh ~U 8, trong 1l1<.lch xutll hi~n k)1 Innh !UH. "1l1ic't L)p va truycn 0 thea hai dlf('-m;; Mill 111;111 d.1l1 kil klliic n\1;lll elm phep philt hi0n j{)1 a/I hang so .\"-(/-1 Ifen Juo'llg tin hi~u a - loi a/ J. Trong vi dl,! n~IY chun~' 1~1 Ih[iy, de Ihlel [Ztp "II/il-D wong U'llg vlii kii a/l gia tfi tren duo·ng a phd' li~'(.1C thic't l<~p lXlng '0' trong tru·OH,g hqp khong co 10i, dieu nity se IIIong delong v6i vi~c d~\1 gia trj x = y = '1'. I1tlY gio' \<1 sc xcI cae dLiong truyen gia 1q D khik nhau toi d<.lu ra::: cua mi.lch: 268 • Nt'lt l~ra chon Qu'cmg Iruyen D qua cae du'Ong lin hi~u a-h·( thi 11"2n pilan Ilr G6 thea thu tl,!c truycn D, chung ta nh(1l1 dUCK: Cic duang tIn ili~u e, /11, 1/ phai nhftn gi<.\ trj > I' ( kili d6 gi{l Id truycn t6'i d:iu fa z se lit 15)~ • DLIong c ph,li nhiln giii tri '\' sc d{1ll to'j Ju'ong p ph:li nMn giJ If! '0' VI Ju'i:1ng d oJ nh,)n gia tf! D Y~l chung la kh()Il" truvcn D llua dLIo"ll" d- e " 0 ('-c. Dieu mlY d,ln !Iii dLIO"ng \' ph,'ti nh(1l1 gi;\ td '0'. • Duong /I ph'li nh(1I1 gia Id , I' se cUn toi Juang s phiii G6 IIlnh H.19. Vecto gl<l tri ki6111 nghiclll loi a/I ~[' ton t,ll neu gl{t tri D dUcl( Iruycn qlla dong thai ca 1m dlf(mg a-h-c viI d-~-c. D nh(lIl gia tr! '0' VI dLIo'ng y dJ nh~111 giu Irj 'I' Y(I ~uy fa dlfong t ciJng . ngan chim khai ,. da dC C;~IP tOi. Trcll - 0 0 - c NO - R __ 5-8 -1 Innh S.IS. t fillh !lo,. I()i llg,m ch;m khoi lao. r- hinh 8.15 dua ra so UO eua triga D duue. truycn 0 thea hai dlf('-m;; Mill 111;111 d.1l1 kil klliic n1;lll elm phep philt hi0n j{)1 a/I hang so ." ;-( /-1 Ifen Juo'llg tin hi~u a - loi a/ J. Trong vi dl,!. a/I ~[' ton t,ll neu gl{t tri D dUcl( Iruycn qlla dong thai ca 1m dlf(mg a-h-c viI d-~-c. D nh(lIl gia tr! '0' VI dLIo'ng y dJ nh~111 giu Irj 'I'

Ngày đăng: 10/07/2014, 02:20

Từ khóa liên quan

Mục lục

  • THIET KE MACH BANG MAY TINH

  • MUC LUC

Tài liệu cùng người dùng

Tài liệu liên quan