Digitale Hardware/ Software-Systeme- P20 ppt

30 354 0
Digitale Hardware/ Software-Systeme- P20 ppt

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

564 Literatur 52. B JESSE, P. und K. CLAESSEN: SAT-Based Verification without State Space Traversal. In: Proceedings of the International Conference on Formal Methods in Computer-Aided Design (FMCAD), Seiten 372–389, 2000. 53. B OUL ´ E, M. und Z. ZILIC: Efficient Automata-Based Assertion-Checker Synthesis of PSL Properties.In:Proceedings of the High-Level Design Validation and Test Workshop (HLDVT), Seiten 69–76, 2006. 54. B OUL ´ E, M. und Z. ZILIC: Efficient Automata-Based Assertion-Checker Synthesis of SEREs for Hardware Emulation.In:Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Seiten 324–329, 2007. 55. B OUL ´ E, M. und Z. ZILIC: Automata-Based Assertion-Checker Synthesis of PSL Pro- perties. ACM Transactions on Design Automation of Electronic Systems (TODAES), 13(1):1–21, 2008. 56. B OZZANO,M.,R.BRUTTOMESSO,A.CIMATTI,T.JUNTTILA,P.VAN ROSSUM, S. S CHULZ und R. SEBASTIANI: An Incremental and Layered Procedure for the Sa- tisfiability of Linear Arithmetic Logic.In:Tools and Algorithms for the Construction and Analysis of Systems, Seiten 317–333. Springer, Berlin, Heidelberg, 2005. 57. B RACE,K.S.,R.L.RUDELL und R. E. BRYANT: Efficient Implementation of a BDD Package.In:Proceedings of the Design Automation Conference (DAC), Seiten 40–45, 1990. 58. B RAND,D.:Verification of Large Synthesized Designs.In:Proceedings of the Interna- tional Conference on Computer-Aided Design (ICCAD), Seiten 534–537, 1993. 59. B RINKMANN, R. und R. D RECHSLER: RTL-Datapath Verification using Integer Line- ar Programming.In:Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Seiten 741–746, 2002. 60. B RYANT,R.,D.KROENING,J.OUAKNINE,S.A.SESHIA,O.STRICHMAN und B. B RADY: Deciding Bit-Vector Arithmetic with Abstraction.In:Proceedings of the International Conference on Tools and Algorithms for the Construction and Analysis of Systems (TACAS), Seiten 358–372, 2007. 61. B RYANT,R.E.:Symbolic Verification of MOS Circuits.In:Chapel Hill Conference on VLSI, Seiten 419–438, 1985. 62. B RYANT,R.E.:Graph-Based Algorithms for Boolean Function Manipulation. IEEE Transactions on Computers, 35(8):677–691, 1986. 63. B RYANT,R.E.:On the Complexity of VLSI Implementations and Graph Representati- ons of Boolean F unctions with Application to Integer Multiplication. IEEE Transactions on Computers, 40(2):205–213, 1991. 64. B RYANT,R.E.,D.BEATTY,K.BRACE,K.CHO und T. SHEFFLER: COSMOS: A Compiled Simulator for MOS Circuits.In:Proceedings of the Design Automation Con- ference (DAC), Seiten 9–16, 1987. 65. B RYANT, R. E. und Y A. CHEN: Verification of Arithmetic Functions with Binary Mo- ment Diagrams. Technischer Bericht CS-94-160, Carnegie Mellon University, 1994. 66. B RYANT, R. E. und Y A. CHEN: Verification of Arithmetic Circuits with Binary Mo- ment Diagrams.In:Proceedings of the Design Automation Conference (DAC), Seiten 535–541, 1995. 67. B RYANT,R.E.,S.GERMAN und M. N. VELEV: Processor Verification Using Effi- cient Reductions of the Logic of Uninterpreted Functions to Propositional Logic.ACM Transactions on Computational Logic (TOCL), 2(1):93–134, 2001. 68. B RYANT, R. E. und M. N. VELEV: Verification of Pipelined Microprocessors by Com- paring Memory Execution Sequences in Symbolic Simulation.In:Proceedings of the Asian Computing Science Conference on Advances in Computing Science (ASIAN),Sei- ten 18–31, 1997. Literatur 565 69. B UCK,J.,S.HA,E.A.LEE und D. G. MESSERSCHMITT: Ptolemy: A Framework for Simulating and Prototyping Heterogeneous Systems. International Journal on Computer Simulation, 4(2):155–182, 1994. 70. B UCK,J.T.:Scheduling Dynamic Dataflow Graphs with Bounded Memory Using the Token Flow Model. Doktorarbeit, Dept. of EECS, UC Berkeley, Berkeley, CA 94720, U.S.A., 1993. 71. B URCH,J.R.:Techniques for Verifying Superscalar Microprocessors.In:Proceedings of the Design Automation Conference (DAC), Seiten 552–557, 1996. 72. B URCH, J. R., E. M. CLARKE und D. E. LONG: Symbolic Model Checking with Par- titioned Transition Relations.In:Proceedings of the International Conference on Very Large Scale Integration (VLSI), Seiten 49–58, 1991. 73. B URCH.,J.R.,E.M.CLARKE,D.E.LONG,K.L.MCMILLAN und D. L. DILL: Symbolic Model Checking for Sequential Circuit Verification. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 13(4):401–424, 1994. 74. B URCH, J. R., E. M. CLARKE,K.L.MCMILLAN,D.L.DILL und L. J. HWANG: Symbolic Model Checking: 10 20 States and Beyond.In:Proceedings of the Symposium on Logic in Computer Science (LICS), Seiten 428–439, 1990. 75. B URCH, J. R. und D. L. DILL: Automatic Verification of Pipelined Microprocessor Control.In:Proceedings of the International Conference on Computer Aided Verificati- on (CAV), Seiten 68–80, 1994. 76. B USHNELL, M. und V. AGRAWAL: Essentials of Electronic Testing for Digital, Memory, and Mixed-Signal VLSI Circuits. Kluwer Academic Publishers, Norwell, Massachusetts, U.S.A., 2000. 77. B USTAN,D.,D.FISMAN und J. HAV L I C EK: Automata Construction for PSL. Techni- scher Bericht, The Weizmann Institute of Science, 2005. Technical Report MCS05-04. 78. B UTTAZZO,G.:Rate Monotonic vs. EDF: Judgment Day.In:Proceedings of the Inter- national Conference on Embedded Software (EMSOFT), Seiten 67–83, 2003. 79. B UTTAZZO,G.:Hard Real-Time Computing Systems: Predictable Scheduling Algo- rithms and Applications. Springer, New York, NY, U.S.A., 2004. 80. C ABODI,G.,P.CAMURATI,L.LAVAG N O und S. QUER: Disjunctive Partitioning and Partial Iterative Squaring: An Effective Approach for Symbolic Traversal of Large Cir- cuits.In:Proceedings of the Design Automation Conference (DAC), Seiten 728–733, 1997. 81. C ABODI,G.,P.CAMURATI und S. QUER: Improved Reachability Analysis of Large Finite State Machines.In:Proceedings of the International Conference on Computer- AidedDesign(ICCAD), Seiten 354–360, 1996. 82. C ADAR,C.,V.GANESH,P.M.PAWLOWS KI,D.L.DILL und D. R. ENGLER: EXE: Automatically Generating Inputs of Death.In:Proceedings of the Conference on Com- puter and Communications Security (CCS), Seiten 322–335, 2006. 83. C ARLONI,L.P.,K.L.MCMILLAN,A.SALDANHA und A. L. SANGIOVANNI- V INCENTELLI: A Methodology for Correct-by-Construction Latency Insensitive Design. In: Proceedings of the International Conference on Computer-Aided Design (ICCAD), Seiten 309–315, 1999. 84. C ARLONI,L.P.,K.LMCMILLAN und A. L. SANGIOVANNI-VINCENTELLI: Theory of Latency-Insensitive Design. IEEE Transactions on Computer-Aided Design of Inte- grated Circuits and Systems, 20(9):1059–1076, 2001. 85. C ARLONI, L. P. und A. L. SANGIOVANNI-VINCENTELLI: Performance Analysis and Optimization of Latency Insensitive Systems.In:Proceedings of the Design Automation Conference (DAC), Seiten 361–367, 2000. 566 Literatur 86. C ARTER,W.C.,W.H.JOYNER und D. BRAND: Symbolic simulation for correct ma- chine design.In:Proceedings of the Design Automation Conference (DAC), Seiten 280– 286, 1979. 87. C ASSANDRAS, C. G. und S. LAFORTUNE: Introduction to Discrete Event Systems. Springer, New York, NY, U.S.A., 1999. 88. C ASSEZ, F. und O H. ROUX: Structural Translation from Time Petri Nets to Timed Automata. Electronic Notes in Theoretical Computer Science, 128(6):145–160, 2005. 89. C HAKI, S., E. M. CLARKE,J.OUAKNINE,N.SHARYGINA und N. SINHA: State/ Event-Based Software Model Checking.In:In Proceeding of the International Confe- rence on Integrated Formal Methods, Seiten 128–147, 2004. 90. C HETTO,H.,M.SILLY und T. BOUCHENTOUF: Dynamic Scheduling of Real-Time Tasks under Precedence Constraints. Real-Time Systems, 2:325–346, 1990. 91. C HUSHO,T.:Test Data Selection and Quality Estimation Based on the Concept of Es- sential Branches for Path Testing. IEEE Transactions on Software Engineering, SE- 13(5):509–517, 1987. 92. C IESIELSKI,M.,P.KALLA und S. ASKAR: Taylor Expansion Diagrams: A Canonical Representation for Verification of Data Flow Designs. IEEE Transactions on Computers, 55(9):1188–1201, 2006. 93. C IESIELSKI,M.,P.KALLA,Z.ZENG und B. ROUZEYRE: Taylor Expansion Diagrams: A New Representation for RTL Verification.In:Proceedings of the High-Level Design Validation and Test Workshop (HLDVT), Seiten 70–75, 2001. 94. C IESIELSKI,M.,P.KALLA,Z.ZHENG und B. ROUZEYRE: Taylor Expansion Dia- grams: A Compact, Canonical Representation with Applications to Symbolic Verifica- tion.In:Proceedings of the Design, Automation and Test in Europe (DATE), Seiten 285–289, 2002. 95. C LAESSEN, K. und J. M ˚ ARTENSSON: An Operational Semantics for Weak PSL.In: Proceedings of the International Conference on Formal Methods in Computer-Aided Design (FMCAD), Seiten 337–351, 2004. 96. C LARIS ´ O, R. und J. CORTADELLA: The Octahedron Abstract Domain. Science of Computer Programming, 64(1):115–139, 2006. 97. C LARKE, E. M . und E. A. EMERSON: Design and Synthesis of Synchronization Skele- tons Using Branching-Time Temporal Logic.In:Processings of the Workshop on Logic of Programs, Seiten 52–71, 1982. 98. C LARKE,E.M.,E.A.EMERSON und A. P. SISTLA: Automatic Verification of Finite- State Concurrent Systems Using Temporal Logic Specifications. ACM Transactions on Programming Languages and Systems (TOPLAS), 8(2):244–263, 1986. 99. C LARKE,E.M.,M.FUJITA,P.MCGEER,K.L.MCMILLAN,J.YANG und X. ZHAO: Multi-Terminal Binary Decision Diagrams: An Efficient Data Structure for Matrix Re- presentation.In:Proceedings of the I nternational Workshop on Logic Synthesis (IWLS), Seiten 1–15, 1993. 100. C LARKE,E.M.,O.GRU MBERG,S.JHA,Y.LU und H. VEITH: Counterexample- Guided Abstraction Refinement for Symbolic Model Checking. Journal of the ACM, 50(5):752–794, 2003. 101. C LARKE,E.M.,O.GRU MBERG und D. E. LONG: Model Checking and Abstraction. In: Proceedings of the Symposium on Principles of Programming Languages (POPL), Seiten 343–354, 1992. 102. C LARKE,E.M.,D.KROENING und F. LERDA: A Tool for Checking ANSI-C Programs. In: Proceedings of the International Conference on Tools and Algorithms for the Con- struction and Analysis of Systems (TACAS), Seiten 168–176, 2004. Literatur 567 103. C LARKE,E.M.,D.KROENING,N.SHARYGINA und K. YORAV: Predicate Abstrac- tion of ANSI-C Programs Using SAT. Journal of Formal Methods in System Design, 25(2–3):105–127, 2004. 104. C LARKE,E.M.,D.KROENING und K. YORAV: Behavioral Consistency of C and Verilog Programs Using Bounded Model Checking.In:Proceedings of the Design Au- tomation Conference (DAC), Seiten 368–371, 2003. 105. C LARKE,E.M.,D.KROENING und K. YORAV: Specifying and Verifying Systems with Multiple Clocks.In:Proceedings of the International Conference on Computer Design (ICCD), Seiten 48–55, 2003. 106. C LARKE,E.M.,K.L.MCMILLAN,X.ZHAO,M.FUJITA und J. YANG: Spectral Transforms for Large Boolean Functions with Applications to Technology Mapping.In: Proceedings of the Design Automation Conference (DAC), Seiten 54–60, 1993. 107. C LARKE,E.M.,O.GRU MBER G und D. A. PELED: Model Checking. MIT Press, Cam- bridge, MA, U.S.A., 1999. 108. C LARKE,L.A.,J.HASSELL und D. J. RICHARDSON: A Close Look at Domain Testing. IEEE Transactions on Software Engineering, 8(4):380–390, 1982. 109. C LARKE,L.A.,A.PODGURSKI,D.J.RICHARDSON und S. J. ZEIL: A Comparison of Data Flow Path Selection Criteria.In:Proceedings of the International Conference on Software Engineering (ICSE), Seiten 28–30, 1985. 110. C OCHET-TERRASSON,J.,G.COHEN,S.GAUBERT,M.MC GETTRICK und J P. Q UADRAT: Numerical Computation of Spectral Elements in Max-Plus Algebra.In:Pro- ceedings of the Conference on System Structure and Control, Seiten 667–674, 1998. 111. C OELHO JR., C. N. und H. D. FOSTER: Assertion-Based Verification – Property Spe- cification.In:Advanced Formal Verification, Seiten 167–204. Kluwer Academic Publis- hers, Boston, 2004. 112. C OHEN,G.,D.DUBOIS,J.QUADRAT und M. VIOT: A Linear-System-Theoretic View of Discrete-Event Processes and its Use for Performance Evaluation in Manufacturing. IEEE Transactions on Automatic Control, 30(3):210–220, 1985. 113. C OMMONER,F.,A.W.HOLT,S.EVEN und A. PNUELI: Marked Directed Graphs. Journal of Computer and System Sciences, 5:511–523, 1971. 114. C OOK,B.,D.KROENING und N. SHARYGINA: Cogent: Accurate Theorem Proving for Program Verification.In:Proceedings of the International Conference on Computer Aided Verification (CAV), Seiten 296–300, 2005. 115. C OOK,B.,D.KROENING und N. SHARYGINA: Symbolic Model Checking for Asyn- chronous Boolean Programs.In:Proceedings of the International SPIN Workshop (SPIN), Seiten 75–90, 2005. 116. C OOK,S.A.:The Complexity of Theorem-Proving Procedures.In:Proceedings of the Symposium on Theory of Computing (STOC), Seiten 151–158, 1971. 117. C OUDERT,O.,C.BERTHET und J. C. MADRE: Verification of Synchronous Sequential Machines Based on Symbolic Execution.In:Proceedings of the International Workshop on Automatic Verification Methods for Finite State Systems, Seiten 365–373, 1990. 118. C OUSOT, P. und R. COUSOT: Abstract Interpretation: A Unified Lattice Model for Static Analysis of Programs by Construction or Approximation of Fixpoints.In:Proceedings of the Symposium on Principles of Programming Languages (POPL), Seiten 238–252, 1977. 119. C OUSOT, P. und R. COUSOT: Systematic Design of Program A nalysis Frameworks. In: Proceedings of the Symposium on Principles of Programming Languages (POPL), Seiten 269–282, 1979. 568 Literatur 120. C OUSOT, P. und N. HALBWACHS: Automatic Discovery of Linear Restraints Among Va- riables of a Program.In:Proceedings of the Symposium on Principles of Programming Languages (POPL), Seiten 84–96, 1978. 121. C RU Z ,R.L.:A Calculus for Network Delay, Part I: Network Elements in Isolation. IEEE Transactions on Information Theory, 37(1):114–131, 1991. 122. C URRIE,D.W.,X.FENG,M.FUJITA,A.J.HU,M.KWA N und S. RAJAN: Embedded Software Verification Using Symbolic Execution and Uninterpreted Functions. Interna- tional Journal of Parallel Programming, 34(1):61–91, 2006. 123. C URRIE,D.W.,A.J.HU,S.RAJAN und M. FUJITA: Automatic Formal Verification of DSP Software.In:Proceedings of the Design Automation Conference (DAC), Seiten 130–135, 2000. 124. C YRLUK,D.,O.M ¨ OLLER und H. RUESS: An Efficient Decision Procedure for the Theory of Fixed-Sized Bit-Vectors.In:Proceedings of the International Conference on Computer Aided Verification (CAV), Seiten 60–71, 1997. 125. C YTRON,R.,J.FERRANTE,B.K.ROSEN,M.N.WEGMAN und F. K. ZADECK: Effi- ciently Computing Static Single Assignment Form and the Control Dependence Graph. ACM Transactions on Programming Languages and Systems (TOPLAS), 13(4):451– 490, 1991. 126. D AHAN,A.,D.GEIST,L.GLUHOVSKY,D.PIDAN,G.SHAPIR,Y.WOLFSTHAL, L. B ENALYCHERIF,R.KAMIDEM und Y. LAHBIB: Combining System Level Modeling with Assertion Based Verification.In:Proceedings of the International Symposium on Quality of Electronic Design (ISQED), Seiten 310–315, 2005. 127. D ANIELE,M.,F.GIUNCHIGLIA und M. Y. VARDI: Improved Automata Generation for Linear Temporal Logic.In:Proceedings of the International Conference on Computer Aided Verification (CAV), Seiten 249–260, 1999. 128. D AVIS,M.,G.LOGEMANN und D . LOVELAND: A Machine Program for Theorem- Proving. Communications of the ACM, 5(7):394–397, 1962. 129. D AVIS, M. und H. PUTNAM: A Computing Procedure for Quantification Theory. Jour- nal of the ACM, 7(3):201–215, 1960. 130. D EHARBE, D. und S. RANISE: Light-Weight Theorem Proving for Debugging and Ve- rifying Units of Code.In:Proceedings of the Conference on Software Engineering and Formal Methods, Seiten 220–228, 2003. 131. D ETLEFS,D.,G.NELSON und J. B. SAXE: Simplify: A Theorem Prover for Program Checking. Journal of the ACM, 52(3):365–473, 2005. 132. D ILL,D.L.:Timing Assumptions and Verification of Finite-State Concurrent Systems. In: Proceedings of the International Workshop on Automatic Verification Methods for Finite State Systems, Seiten 197–212, 1990. 133. D ONLIN,A.:Transaction Level Modeling: Flows and Use Models.In:Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Seiten 75–80, 2004. 134. D RECHSLER, R. und B. BECKER: Overview of Decision Diagrams. IEE Proceedings on Computers and Digital Techniques, 144(3):187–193, 1997. 135. D RECHSLER, R. und B. BECKER: Binary Decision Diagrams – Theory and Implemen- tation. Kluwer Academic Publishers, Dordrecht, The Netherlands, 1998. 136. D RECHSLER,R.,B.BECKER und S. RUPPERTZ: K*BMDs: A New Data Structure for Verification.In:Proceedings of the European Conference on Design and Test (EDTC), Seiten 2–8, 1996. 137. D RECHSLER,R.,S.EGGERSGL ¨ USS,G.FEY,J.SCHL ¨ OFFEL und D. TILLE: Effiziente Erf ¨ ullbarkeitsalgorithmen f ¨ ur die Generierung von Testmustern. it – information tech- nology, 51(2):102–111, 2009. Literatur 569 138. D RECHSLER,R.,A.SARABI,M.THEOBALD,B.BECKER und M. A. PERKOWSKI: Efficient Representation and Manipulation of Switching Functions Based on Ordered Kronecker Functional Decision Diagrams.In:Proceedings of the Design Automation Conference (DAC), Seiten 415–419, 1994. 139. D RECHSLER,R.,M.THEOBALD und B. BECKER: Fast OFDD based Minimization of Fixed Polarity Reed-Muller Expressions.In:Proceedings of the European Conference on Design Automation (ECDA), Seiten 2–7, 1994. 140. D’S ILVA,V.,D.KROENING und G. W EISSENBACHER: A Survey of Automated Tech- niques for Formal Software Verification. IEEE Transactions on Computer-Aided Design of Integrated Circuits and S ystems, 27(7):1165–1178, 2008. 141. D UBOIS,O.,P.ANDRE,Y.BOUFKHAD und J. CARLIER: SAT versus UNSAT.In: J OHNSON, D. S. und M. A. TRICK (Herausgeber): Second DIMACS Implementation Challenge,Band26derReiheSeries in Discrete Mathematics and Theoretical Computer Science (DIMACS), Seiten 415–434. American Mathematical Society, 1996. 142. D UTERTRE, B. und L. DE MOURA: A Fast Linear-Arithmetic Solver for DPLL(T).In: Proceedings of the International Conference on Computer Aided Verification (CAV), Seiten 81–94, 2006. 143. E CKER,W.,V.ESEN,T.STEININGER,M.VELTEN und M. HULL: Execution Seman- tics and Formalisms for Multi-Abstraction TLM Assertions.In:Proceedings of the In- ternational Conference on Formal Methods and Models for Co-Design (MEMOCODE), Seiten 93–102, 2006. 144. E CKER,W.,V.ESEN,T.STEININGER,M.VELTEN und M. HULL: Implementation of a Transaction Level Assertion Framework in SystemC.In:Proceedings of the Design, Automation and Test in Europe (DATE), Seiten 1–6, 2007. 145. E IJK,C.A.J.VA N: Formal Methods for the Verification of Digital Circuits. Doktorar- beit, Eindhoven University of Technology, The Netherlands, 1997. 146. E IJK,C.A.J.VA N : Sequential Equivalence Checking based on Structural Similari- ties. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 19(7):814–819, 2000. 147. E KER,J.,J.W.JANNECK,E.A.LEE,J.LIU,X.LIU,J.LUDVIG,S.NEUENDORFFER, S. S AC HS und Y. XIONG: Taming Heterogeneity – the Ptolemy Approach . Proceedings of the IEEE, 91(1):127–144, 2003. 148. E MERSON,E.A.:Temporal and Modal Logic.In:Formal Models and Semantics, Band B der Reihe Handbook of Theoretical Computer Science, Seiten 995–1072. MIT Press, Cambridge, MA, U.S.A., 1990. 149. E MERSON, E. A. und E. M. CLARKE: Characterizing Correctness Properties of Par- allel Programs Using Fixpoints.In:Proceedings of the Colloquium on Automata, Lan- guages and Programming, Seiten 169–181, 1980. 150. E MERSON, E. A. und J. Y. HALPERN: ”Sometimes” and ”Not Never” Revisited: On Branching versus Linear Time.In:Proceedings of the Symposium on Principles of Pro- gramming Languages (POPL), Seiten 127–140, 1983. 151. E MERSON, E. A., A. K. MOK,A.P.SISTLA und J. SRINIVASAN: Quantitative Tem- poral Reasoning.In:Proceedings of the International Conference on Computer Aided Verification (CAV), Seiten 136–145, 1990. 152. E MERSON, E. A. und R. J. TREFLER: Parametric Quantitative Temporal Reasoning. In: Proceedings of the Symposium on Logic in Computer Science (LICS), Seiten 336– 343, 1999. 153. E NGELS,M.,G.BILSEN,R.LAUWEREINS und J. PEPERSTRAETE: Cyclo-Static Da- ta Flow: Model and Implementation.In:Proceedings of the Asilomar Conference on Signals, Systems, and Computers, Seiten 503–507, 1994. 570 Literatur 154. E RNST, R. und W. Y E: Embedded Program Timing Analysis Based on Path Clustering and Architecture Classification.In:Proceedings of the International Conference on Computer-Aided Design (ICCAD), Seiten 598–604, 1997. 155. E SPARZA,J.:Model Checking Using Net Unfoldings.In:Proceedings of the Conference on Theory and Practice of Software Development (TAPSOFT), Seiten 613–628, 1993. 156. E VANS,A.,A.SILBURT,G.VRCKOVNIK,T.BROWN,M.DUFRESNE,G.HALL, T. H O und Y. LIU: Functional Verification of Large ASICs.In:Proceedings of the Design Automation Conference (DAC), Seiten 650–655, 1998. 157. F ALK,J.,C.HAUBELT und J. TEICH: Efficient Representation and Simulation of Model-Based Designs in SystemC.In:Proceedings of the Forum on Design Langua- ges (FDL), Seiten 129–134, 2006. 158. F EAUTRIER,P.:Array Expansion.In:Proceedings of the International Conference on Supercomputing (ICS), Seiten 429–441, 1988. 159. F ENG, X. und A. J. HU: Automatic Formal Verification for Scheduled VLIW Code. In: Proceedings of the C onference on Languages, Compilers and Tools for Embedded Systems (SCOPES), Seiten 85–92, 2002. 160. F ENG, X. und A. J. HU: Cutpoints for Formal Equivalence Verification of Embedded Software.In:Proceedings of the International Conference on Embedded Software (EM- SOFT), Seiten 307–316, 2005. 161. F ENG, X. und A. J. HU: Early Cutpoint Insertion for High-Level Software vs. RTL For- mal Combinational Equivalence Verification.In:Proceedings of the Design Automation Conference (DAC), Seiten 1063–1068, 2006. 162. F ETTWEIS,A.:Realizability of Digital Filter Networks. Archiv Elek. ¨ Ubertragung, 30(2):90–96, 1976. 163. F EY,G.,R.DRECHSLER und M. CIESIELSKI: Algorithms for Taylor Expansion Dia- grams.In:Proceedings of the International Symposium on Multiple-Valued Logic (ISMVL), Seiten 235–240, 2004. 164. F LANAGAN,C.,R.JOSHI,X.OU und J. B. SAXE: Theorem Proving Using Lazy Proof Explication.In:Proceedings of the International Conference on Computer Aided Verifi- cation (CAV), Seiten 355–367, 2003. 165. http://www.flexray.com. 166. F LOYD,R.W.:Assigning Meaning to Programs.In:Proceedings of the Symposium of Applied Mathematics, Seiten 19–32, 1967. 167. http://www.haifa.ibm.com/projects/verification/focs/. 168. F OSTER,H.D.,A.C.KROLNIK und D. J. LACEY: Assertion-Based Design. Kluwer Academic Publishers, Dordrecht, The Netherlands, 2004. 2. Auflage. 169. F OWLER, M. und K. SCOTT: UML Distilled: Applying the Standard Object Modeling Language. Addison-Wesley, Reading, MA, U.S.A., 1997. 170. G AJSKI, D. D. und R. H. KUHN: New VLSI Tools. IEEE Computer, 16(12):11–14, 1983. 171. G AJSKI,D.D.,F.VAHID,S.NARAYAN und J. GONG: Specification and Design of Embedded Systems. Prentice-Hall, Inc., Upper Saddle River, NJ, U.S.A., 1994. 172. G AJSKI,D.D.,J.ZHU,R.D ¨ OMER,A.GERSTLAUER und S. ZHAO: SpecC: Specifi- cation Language and Design Methodology. Kluwer Academic Publishers, 2000. 173. G ANAI,M.K.undA.AZIZ: Improved SAT-Based Bounded Reachability Analysis.In: Proceedings of the Asia and South Pacific Design Automation Conference (ASPDAC), Seiten 729–734, 2002. 174. G ANAI,M.K.undA.GUPTA: SAT-based Scalable Formal Verification Solutions. Springer, New York, NY, U.S.A., 2007. Literatur 571 175. G ANAI,M.K.,A.GUPTA und P. ASHAR: Efficient Modeling of Embedded Memories in Bounded Model Checking.In:Proceedings of the International Conference on Computer Aided Verification (CAV), Seiten 440–452, 2004. 176. G ANAI,M.K.,A.GUPTA und P. ASHAR: Beyond Safety: Customized SAT-Based Mo- del Checking.In:Proceedings of the Design Automation Conference (DAC), Seiten 738–743, 2005. 177. G ANAI,M.K.,A.GUPTA und P. ASHAR: Verification of Embedded Memory Systems using Efficient Memory Modeling.In:Proceedings of the Design, Automation and Test in Europe (DATE), Seiten 1096–1101, 2005. 178. G ANAI,M.K.,M.TALUPUR und A. GUPTA: SDSAT: Tight Integration of Small Do- main Encoding and Lazy Approaches in a Separation Logic Solver.In:Tools and Algo- rithms for the Construction and Analysis of Systems , Seiten 135–150. Springer, Berlin, Heidelberg, 2006. 179. G ANESH, V. und D. L. DILL: A Decision Procedure for Bit-Vectors and Arrays.In: Proceedings of the International Conference on Computer Aided Verification (CAV), Seiten 524–536, 2007. 180. G AREY, M. R. und D. S. JOHNSON: Computers and Intractability: A Guide to the Theory of NP-Completeness. Freeman, New York, NY, U.S.A., 1979. 181. G ASTIN, P. und D. ODDOUX: Fast LTL to B ¨ uchi Automata Translation.In:Proceedings of the International Conference on Computer Aided Verification (CAV), Seiten 53–65, 2001. 182. G ERSTLAUER,A.,C.HAUBELT,A.D.PIMENTEL,T.P.STEFANOV,D.D.GAJSKI und J. TEICH: Electronic System-Level Synthesis Methodologies. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28(10):1517–1530, 2009. 183. G ERTH,R.,D.PELED,M.Y.VARDI und P. WOLPER: Simple On-the-Fly Automatic Verification of Linear Temporal Logic.In:Proceedings of the International Symposium on Protocol Specification, Testing and Verification, Seiten 3–18, 1996. 184. G HAMARIAN,A.H.:Timing Analysis of Synchronous Data Flow Graphs. Doktorarbeit, Eindhoven University of Technology, The Netherlands, 2008. 185. G HAMARIAN,A.H.,M.C.W.GEILEN,S.STUIJK,T.BASTEN,A.J.M.MOONEN, M. J. G. B EKOOIJ,B.D.THEELEN und M. R. MOUSAVI: Throughput Analysis of Synchronous Data Flow Graphs.In:Proceedings of the International Conference on Application of Concurrency to System Design (ACSD), Seiten 25–36, 2006. 186. G HAMARIAN,A.H.,S.STUIJK,T.BASTEN,M.C.W.GEILEN und B. D. THEELEN: Latency Minimization for Synchronous Data Flow Graphs.In:Proceedings of the Eu- romicro Conference on Digital System Design Architectures, Methods and Tools (DSD), Seiten 189–196, 2007. 187. G HEORGHITA, S. V. und R. GRIGORE: Constructing Checkers from PSL Properties. In: In Proceedings of the International Conference on Control Systems and Computer Science, Seiten 757–762, 2005. 188. G IANNAKOPOULOU, D. und F. LERDA: From States to Transitions: Improving Trans- lation of LTL Formulae to B ¨ uchi Automata.In:Proceedings of the International Con- ference on Formal Techniques for Networked and Distributed Systems (FORTE), Seiten 308–326, 2002. 189. G IRAULT, C. und R. VALK: Petri Nets for Systems Engineering – A Guide to Modeling, Verification, and Application. Springer, Berlin, Heidelberg, New York, 2003. 190. G IRGIS, M. und M. WOODWARD: An Experimental Comparison of the Error Expo- sing Ability of Program Testing Criteria.In:Proceedings of the Workshop on Software Testing, Seiten 64–73, 1986. 572 Literatur 191. G LADIGAU,J.,F.BLENDINGER,C.HAUBELT und J. TEICH: Symbolische Modell- pr ¨ ufung Aktor-orientierter High-level SystemC-Modelle mit Intervalldiagrammen.In: Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltun- gen und Systemen, Seiten 109–118, 2008. 192. G LADIGAU,J.,C.HAUBE LT und J. TEICH: Symbolic Scheduling of SystemC Dataflow Designs.In:Languages for Embedded Systems and their Applications,Band36der Reihe Lecture Notes in Electrical Engineering, Seiten 183–199. Springer, 2009. 193. G LADIGAU,JENS: Symbolische Ablaufplanung von SysteMoC-Beschreibungen.Di- plomarbeit, Department of Computer Science, University of Erlangen-Nuremberg, 2006. 194. G ODEFROID,P.:Partial-Order Methods for the Verification of Concurrent Systems: An Approach to the State-Explosion Problem. Springer, New York, NY, U.S.A., 1996. 195. G ODEFROID,P.:Model Checking for Programming Languages Using VeriSoft.In:Pro- ceedings of the Symposium on Principles of Programming Languages (POPL), Seiten 174–186, 1997. 196. G ODEFROID, P. und P. WOLPER: A Partial Approach to Model Checking.In:Procee- dings of the Symposium on Logic in Computer Science (LICS), Seiten 406–415, 1991. 197. G ODEFROID, P. und P. WOLPER: Using Partial Orders for the Efficient Verification of Deadlock Freedom and Safety Properties. Journal of Formal Methods in System Design, 2(2):149–164, 1993. 198. G ¨ ODEL,KURT: ¨ Uber formal unentscheidbare S ¨ atze der Principia Mathematica und verwandter Systeme I. Monatshefte f ¨ ur Mathematik und Physik, 38:173–198, 1931. 199. G OEL, A. und R. E. BRYANT: Set Manipulation with Boolean Functional Vectors for Symbolic Reachability Analysis.In:Proceedings of the Design, Automation and Test in Europe (DATE), Seiten 10816–10821, 2003. 200. G OEL,A.,K.SAJID,H.ZHOU,A.AZIZ und V. SINGHAL: BDD Based Procedures for a Theory of Equality with Uninterpreted Functions. Journal of Formal M ethods in System Design, 22(3):205–224, 2003. 201. G OLDBERG, E. und Y. NOVIKOV: BerkMin: A Fast and Robust SAT-solver.In:Procee- dings of the Design, Automation and Test in Europe (DATE), Seiten 142–149, 2002. 202. G OMEZ-PRADO,D.,Q.REN,S.ASKAR,M.CIESIELSKI und E. BOUTILLON: Var i a - ble Ordering for Taylor Expansion Diagrams.In:Proceedings of the High-Level Design Validation and Test Workshop (HLDVT), Seiten 55–59, 2004. 203. G ORDON,M.,JOE HURD und K. SLIND: Executing the Formal Semantics of the Accel- lera Property Specification Language by Mechanised Theorem Proving.In:Proceedings of the Conference on Correct Hardware Design and Verification Methods, Seiten 200– 215, 2003. 204. G OVINDARAJAN, R. und G. R. GAO: Rate-Optimal Schedule for Multi-Rate DSP Com- putations. Journal of VLSI Signal Processing Systems, 9(3):211–232, 1995. 205. G RAF, S. und H. SA ¨ IDI: Construction of Abstract State Graphs with PVS.In:Pro- ceedings of the International Conference on Computer Aided Verification (CAV), Seiten 72–83, 1997. 206. G RO SSE, D. und R. DRECHSLER: Ein Ansatz zur formalen Verifikation von Schaltungs- beschreibungen in SystemC. it - Information Technology, 45(4):219–226, 2003. 207. G R ¨ OTKER,T.,S.LIAO,G.MARTIN und S. SWA N: System Design with SystemC. Klu- wer Academic Publishers, Norwell, Massachusetts, Dordrecht, 2002. 208. G UPTA, A. und P. ASHAR: Integrating a Boolean Satisfiability Checker and BDDs for Combinational Equivalence Checking.In:Proceedings of the International Conference on VLSI Design (VLSID), Seiten 222–225, 1998. Literatur 573 209. G UPTA,A.,M.GANAI,Z.YANG und P. ASHAR: Iterative Abstraction using SAT- based BMC with Proof Analysis.In:Proceedings of the International Conference on Computer-Aided Design (ICCAD), Seiten 416–423, 2003. 210. H ABIBI, A. und S. TAHAR: Design and Verification of SystemC Transaction-Level Mo- dels. IEEE Transactions on Very Large Scale Integrated Systems, 14(1):57–68, 2006. 211. H ACHTEL, G. D. und F. SOMENZI: Logic Synthesis and Verification Algorithms. Klu- wer Academic Publishers, Norwell, Massachusetts 02061 U.S.A., 1996. 212. H ALBWACHS,N.,P.CASPI,P.RAYMOND und D. PILAUD: The Synchronous Data Flow Programming Language LUSTRE. Proceedings of the IEEE, 79(9):1305–1320, 1991. 213. H ALBWACHS,N.,Y E.PROY und P. ROUMANOFF: Verification of Real-Time Sys- tems using Linear Relation Analysis. Journal of Formal Methods in System Design, 11(2):157–185, 1997. 214. H AMAGUCHI,K.,A.MORITA und S. YAJIMA: Efficient Construction of Binary M o- ment Diagrams for Verifying Arithmetic Circuits.In:Proceedings of the International Conference on Computer-Aided Design (ICCAD), Seiten 78–82, 1995. 215. H AUB ELT,C.,J.FALK,J.KEINERT,T.SCHLICHTER,M.STREUB ¨ UHR,A.DEYHLE, A. H ADERT und J. TEICH: A SystemC-based Design Methodology for Digital Signal Processing Systems. EURASIP Journal on Embedded Systems, Special Issue on Em- bedded Digital Signal Processing Systems, 2007. 216. H AUB ELT,C.,M.MEREDITH,T.SCHLICHTER und J. KEINERT: SystemCoDesigner: Automatic Design Space Exploration and Rapid Prototyping from Behavioral Models. In: Proceedings of the Design Automation Conference (DAC), Seiten 580–585, 2008. 217. H ENIA,R.,A.HAMANN,M.JERSAK,R.RACU,K.RICHTER und R. ERNST: System Level Performance Analysis – The SymTA/S Approach. IEE Proceedings on Computers and Digital Techniques, 152(2):148–166, 2005. 218. H ENZINGER,T.A.,X.NICOLLIN,J.SIFAKIS und S. YOVINE: Symbolic Model Checking for Real-Time Systems. Information and Computation, 111(2):193–244, 1994. 219. H ERBER,P.,J.FELLMUTH und S. GLESNER: Model Checking SystemC Designs Using Timed Automata.In:Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Seiten 131–136, 2008. 220. H IND,M.:Pointer Analysis: Haven’t We Solved this Problem Yet? In: Proceedings of the Workshop on Program Analysis for Software Tools and Engineering (PASTE), Seiten 54–61, 2001. 221. H OARE,C.A.R.:An Axiomatic Basis for Computer Programming. Communications of the ACM, 12(10):576–580, 1969. 222. H OLZMANN,G.J.:The Model Checker SPIN. IEEE Transactions on Software Engi- neering, 23(5):279–295, 1997. 223. H OPCROFT,J.E.,R.MOTWANI und J. D. ULLMAN: Einf ¨ uhrung in die Automaten- theorie, Formale Sprachen und Komplexit ¨ atstheorie. Pearson Studium, Deutschland, M ¨ unchen, 2002. 2. Auflage. 224. H ¨ ORETH, S. und R. DRECHSLER: Formal Verification of Word-Level Specifications.In: Proceedings of the Design, Automation and Test in Europe (DATE), Seiten 52–58, 1999. 225. H ORN,W.A.:Some Simple Scheduling Algorithms. Naval Research Logistics Quarter- ly, 21:177–185, 1974. 226. H ORWITZ,S.,T.REPS und D. BINKLEY: Interprocedural Slicing Using Dependence Graphs.In:Proceedings of the Conference on Programming Language Design and Implementation (PLDI), Seiten 35–46, 1988. 227. H OWDEN,W.E.:Theoretical and Empirical Studies of Program Testing. IEEE Tran- sactions on Software Engineering, SE-4(4):293–298, 1978. [...]... IEEE Transactions on Very Large Scale Integrated Systems, 9(4):524–544, 2001 418 S TREHL , K., L T HIELE , D Z IEGENBEIN, R E RNST und J T EICH: Scheduling Hardware/Software Systems Using Symbolic Techniques In: Proceedings of the Conference on Hardware/Software Codesign (CODES), Seiten 173–177, 1999 ¨ 419 S TREUB UHR , M., J FALK, C H AUBELT, J T EICH , R D ORSCH und T S CHLIPF: Task-Accurate Performance... http://www.systemc.org 425 T EICH , J.: Embedded System Synthesis and Optimization In: Proceedings of the Workshop on System Design Automation (SDA), Seiten 9–22, 2000 426 T EICH , J und C H AUBELT: Digitale Hardware/Software-Systeme – Synthese und Optimierung Springer, Berlin, Heidelberg, 2007 2 erweiterte Auflage 427 T HAYSE , A., M DAVIO und J.-P D ESCHAMPS: Optimization of Multivalued Decision Algorithms... Science, Seiten 46–57, 1977 Literatur 581 365 P OP, T., P E LES und Z P ENG: Holistic Scheduling and Analysis of Mixed Time/EventTriggered Distributed Embedded Systems In: Proceedings of the Conference on Hardware/Software Codesign (CODES), Seiten 187–192, 2002 366 P RASAD , M., A B IERE und A G UPTA : A Survey of Recent Advances in SAT-Based Formal Verification International Journal on Software Tools for... Abstraction and Induction In: Proceedings of the International Conference on Computer-Aided Design (ICCAD), Seiten 66–72, 2004 271 K ROENING , D und N S HARYGINA: Formal Verification of SystemC by Automatic Hardware/Software Partitioning In: Proceedings of the International Conference on Formal Methods and Models for Co-Design (MEMOCODE), Seiten 101–110, 2005 272 K ROPF, T HOMAS: Introduction to Formal Hardware... FABSYN: Floorplan-aware Bus Architecture Synthesis IEEE Transactions on Very Large Scale Integrated Systems, 14(3):241–253, 2006 355 PATTERSON , D A und J L H ENNESSY: Computer Organization & Design: The Hardware/Software Interface Morgan Kaufmann Publishers Inc., San Francisco, CA, U.S.A., 1997 2 Auflage 356 PCI S PECIAL I NTEREST G ROUP: PCI Local Bus Specification, 1998 Version 2.2 357 P ELED , D.: All... Seiten 112–117, 2000 454 V IEHL , A., M P RESSLER und O B RINGMANN: Bottom-Up Performance Analysis Considering Time Slice Based Software Scheduling at System Level In: Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Seiten 423–432, 2009 455 V IEHL , A., M P RESSLER, O B RINGMANN und W ROSENSTIEL: White Box Performance Analysis Considering Static Non-Preemptive... Conference on Computer Aided Verification (CAV), Seiten 17–36, 2002 477 Z HU , C., Z P G U, R P D ICK und L S HANG: Reliable Multiprocessor System-OnChip Synthesis In: Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Seiten 239–244, 2007 Sachverzeichnis Abarbeitungszeit 439 Abbildung 524 Abbildungsgraph 461 Abh¨ ngigkeitsabbildung 382 a Ablaufplan 348 iterativer, . D ONLIN,A.:Transaction Level Modeling: Flows and Use Models.In:Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Seiten 75–80, 2004. 134. D RECHSLER,. GLESNER: Model Checking SystemC Designs Using Timed Automata.In:Proceedings of the Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Seiten 131–136, 2008. 220. H IND,M.:Pointer. Seiten 66–72, 2004. 271. K ROENING, D. und N. SHARYGINA: Formal Verification of SystemC by Automatic Hardware/Software Partitioning.In:Proceedings of the International Conference on Formal Methods

Ngày đăng: 02/07/2014, 14:20

Mục lục

    ISBN 978-3-642-05355-9

    1.2.2 Das Doppeldachmodell des Entwurfsprozesses

    1.2.3 Das Doppeldachmodell des Verifikationsprozesses

    1.3 Eine kurze Geschichte der Verifikation

    2.1 Wie spezifiziert man ein System?

    2.4 Formale Spezifikation funktionaler Anforderungen

    2.5 Formale Spezifikation nichtfunktionaler Anforderungen

    3.1 Verifikationsaufgabe, -ziel und -methode

    3.3 Gesteuerte zufällige Simulation

    4.1.3 Reduktion und Normalisierung von TEDs

Tài liệu cùng người dùng

Tài liệu liên quan