1. Trang chủ
  2. » Luận Văn - Báo Cáo

Physical design for 3d integrated circuits

424 0 0
Tài liệu đã được kiểm tra trùng lặp

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Nội dung

"Physical Design for 3D Integrated Circuits reveals how to effectively and optimally design 3D integrated circuits (ICs). It also analyzes the design tools for 3D circuits while exploiting the benefits of 3D technology. The book begins by offering an overview of physical design challenges with respect to conventional 2D circuits, and then each chapter delivers an in-depth look at a specific physical design topic. This comprehensive reference: Contains extensive coverage of the physical design of 2.5D/3D ICs and monolithic 3D ICs Supplies state-of-the-art solutions for challenges unique to 3D circuit design Features contributions from renowned experts in their respective fields"

Trang 2

SECTION I 3D Integration Overview

Chapter 1 2.5D/3D ICs: Drivers, Technology, Applications, and Outlook

Chuan Seng Tan

Chapter 2 Overview of Physical Design Issues for 3D-Integrated Circuits

Aida Todri-Sanial

Chapter 3 Detailed Electrical and Reliability Study of Tapered TSVs

Tiantao Lu and Ankur Srivastava

Chapter 4 3D Interconnect Extraction

Sung Kyu Lim

SECTION II Physical Design Methods for 3D Integration

Chapter 5 3D Placement and Routing

Pingqiang Zhou and Sachin S Sapatnekar

Chapter 6 Power and Signal Integrity Challenges in 3D Systems-on-Chip

Emre Salman

Chapter 7 Design Methodology for TSV-Based 3D Clock Networks

Taewhan Kim and Heechun Park

Chapter 8 Design Methodology for 3D Power Delivery Networks

Aida Todri-Sanial

Trang 3

SECTION III Reliability Concerns for 3D Integration

Chapter 9 Live Free or Die Hard: Design for Reliability in 3D Integrated CircuitsYu-Guang Chen, Yiyu Shi, and Shih-Chieh Chang

Chapter 10 Thermal Modeling and Management for 3D Stacked Systems

Tiansheng Zhang, Fulya Kaplan, and Ayse K Coskun

Chapter 11 Exploration of the Thermal Design Space in 3D Integrated Circuits

Sumeet S Kumar, Amir Zjajo, and Rene van Leuken

Chapter 12 Dynamic Thermal Optimization for 3D Many-Core Systems

Nizar Dahir, Ra’ed Al-Dujaily, Terrence Mak, and Alex Yakolev

Chapter 13 TSV-to-Device Noise Analysis and Mitigation Techniques

Brad Gaynor, Nauman Khan, and Soha Hassoun

SECTION IV CAD Design Tools and Future Directions for 3D Physical Design

Chapter 14 Overview of 3D CAD Design Tools

Andy Heinig and Robert Fischbach

Chapter 15 Design Challenges and Solutions for Monolithic 3D ICs

Sung Kyu Lim and Yiyu Shi

Chapter 16 Design of High-Speed Interconnects for 3D/2.5D ICs without TSVs

Tony Tae-Hyoung Kim and Aung Myat Thu Linn

Chapter 17 Challenges and Future Directions of 3D Physical Design

Johann Knechtel, Jens Lienig, and Cliff C.N Sze

Trang 4

Section I

3D Integration Overview

1 2.5D/3D ICs

Drivers, Technology, Applications, and Outlook

Chuan Seng Tan

Background and Introduction1.2

Drivers

1.2.1 Sustainable System Performance Growth1.2.2 Show-Stoppers and 3D Integration as a Remedy1.2.2.1 Transistor Scaling Barriers

1.2.2.2 On-Chip Interconnect1.2.2.3 Off-Chip Interconnect1.2.3 Heterogeneity

Options of 3D IC1.3.1 Classification

1.3.2 Monolithic Approaches1.3.3 Assembly Approaches

1.3.4 3D Interconnect Technology Definitions by ITRS1.4

Technology Platforms and Strategies1.4.1 Handle Wafer Attachment

Trang 5

1.4.2 Wafer Thin Back

1.4.3 Vertical Via and Bump Formation1.4.3.1 Through-Silicon-Via

1.4.4 Alignment1.4.5 Bonding

1.4.5.1 Cu–Cu Permanent Bonding1.4.5.2 Low-Temperature Cu Bonding1.4.6 Handle Wafer Release

1.4.7 2.5D Silicon Interposer1.4.8 Demonstrator

Applications, Status, and Outlook1.5.1 Silicon Photonics

1.5.2 ITRS 2.0AcknowledgmentReferencesABSTRACT

2.5D interposer side-by-side assembly and three-dimensional (3D) stacking of functionalintegrated circuits (ICs) are identified as inevitable solutions for future system miniaturizationand functional diversification 2.5D/3D integration offers a long list of benefits in terms ofsystem form factor, density scaling and multiplication, reduced interconnection latency andpower consumption, bandwidth enhancement, and heterogeneous integration of disparatetechnologies In 2.5D integration, ICs are placed side-by-side in close proximity on a suitablesubstrate such as silicon interposer On the other hand in 3D implementation, thinned IC layersare seamlessly bonded with a reliable bonding medium and vertically interconnected withelectrical through-strata-via (TSV) This chapter discusses the drivers and new integrationcapabilities brought about by 2.5D/3D technology, enabling technology platforms, and potentialapplications made possible by 2.5D/3D technology A future outlook is provided at the end.1.1 BACKGROUND AND INTRODUCTION

Trang 6

Beginning with the invention of integrated circuits (ICs) in 1959, higher computing power wasachieved primarily through density scaling and commensurate performance enhancement oftransistors as a result of continuously scaling down the device dimensions in a harmoniousmanner This has resulted in a steady doubling of device density from one technology node toanother [1] This observation was famously known as “Moore’s Law,” first coined by CarverMead of Caltech The scaling law was based on a set of rules proposed by Robert Dennard ofIBM [2] Improvement in transistor switching speed and density are two of the most directcontributors to the historical performance growth in ICs (particularly in silicon-based digitalCMOS) This scaling approach has been so effective in many aspects (performance and cost) thatICs have essentially remained a planar platform throughout this period of rigorous scaling In therecent years, pitch scaling is augmented with a number of performance boosters such as strainengineering, high-κ/metal gate, and nonplanar 3D transistors At the time of this writing, theindustry is already manufacturing the 16/14 nm node devices There is a consensus thatgeometrical scaling cannot be sustained indefinitely as the manufacturing cost will beprohibitively high The industry is actively exploring several promising options The focus ofattention is on “system scaling” and 2.5D/3D integration has been favorably singled out Several“low hanging” products that leverage on slim form factor and high density afforded by 3Dintegration, such as CMOS image sensor and memory stack, are already in the market It isanticipated that the next phase of development will deliver high-bandwidth memory/logic stackand heterogeneous systems to meet the insatiable demands.

1.2 DRIVERS

This section examines the role of 3D integration in ensuring that system-level performancegrowth enjoyed by the semiconductor industry can continue in the future to support emergingapplications Scaling alone has met with diminishing return due to fundamental and economicsbarriers (noncommensurate scaling) 3D integration explores the third dimension of IC and offersnew dimension for performance growth The concept of 3D integration should not be confusedwith nonplanar 3D transistor such as finFET 3D integration also enables integration of disparatechips in a more compact form factor, and it is touted by many as an attractive method for systemminiaturization and functional diversification commonly known as heterogeneous integration.1.2.1 SUSTAINABLE SYSTEM PERFORMANCE GROWTH

Beginning with the invention of the first IC by Kilby and Noyce, the world has witnessedsustainable performance growth in IC The trend is best exemplified by the exponentialimprovement in computing power (measured in million instructions per second, MISP) in Intel’smicroprocessors over the past 40 years as shown in Figure 1.1 [3].

This continuous growth is a result of the ability to scale silicon transistor to smaller dimension inevery new technology nodes The growth has continued, instead of hitting a plateau, in morerecent nodes, thanks to the addition of performance boosters (e.g., strained-Si, high-κ and metalgate, finFET) on top of conventional geometrical scaling Scaling doubles the number oftransistors on IC in every generation and allows us to integrate more functions on IC and toincrease its computing power We are now in the giga-scale integration era featured by billionsof transistors, GHz operating frequency, etc Going forward to tera-scale integration, however,

Trang 7

there are a number of imminent show-stoppers (described in the next section) that pose seriousthreat to continuous performance enhancement in IC, and a new paradigm shift in IC technologyand architecture is needed to sustain the historical growth It is widely recognized that the growthcan be sustained if one utilizes the vertical (i.e., the third) dimension of IC to build a 3D IC, adeparture from today’s planar IC as illustrated in Figure 1.2.

FIGURE 1.1 Evolution of computing performance (From Intel, www.intel.com, accessed on

December 2014.)

Three-dimensional integrated circuits (3D ICs) refer to a stack consisting of multiple ultrathinlayers of IC that are vertically bonded and interconnected with through-silicon-via (TSV) It isalso possible to stack multiple thin dies and connect them using conventional wire-bonding ascommonly found in flash memory stack This method is better known as 3D packaging and itwill not be the main discussion point in this text In 3D implementation, each block can befabricated and optimized using their respective technologies and assembled to form a verticalstack In today’s technology, thin IC layer in the range of 20–50 µm and TSV diameter of 2–5µm are often reported The scaling of these dimensions in the future nodes can be readily foundin various technology road maps.

Trang 8

FIGURE 1.2 Historical IC performance growth can be sustained with a new paradigm shift to

Another issue related to scaled devices is variability [5,6] Variability in transistor performanceand leakage is a critical challenge to the continued scaling and effective utilization of CMOStechnologies with nanometer-scale feature sizes Some of the factors contributing to thevariability increase are fundamental to the planar CMOS transistor architecture Random dopantfluctuations (RDFs) and line-edge roughness (LER) are two examples of such intrinsic sourcesof variation Other reasons for the variability increase include advanced resolution-enhancementtechniques (RETs) used to print patterns with feature sizes smaller than the wavelength oflithography Transistor variation affects many aspects of IC manufacturing and design Increasedtransistor variability (e.g., in leakage current and threshold voltage) can have negative impact onproduct performance and yield Variability worsens as we continue to scale in future technologynodes and it is a severe challenge.

The second barrier concerns the economic aspect of scaling The development andmanufacturing cost has increased multiple folds from one node to another making scaling a lessfavorable option in future nodes of IC In addition, delay in lithography capability seriouslyslows down geometrical scaling The capital investment in a new technology node is sky-rocketing and that limits the number of users 3D integration on the other hand achieves devicedensity multiplication by stacking IC layers in the third dimension without aggressive scaling.

Trang 9

3D integration can be manufactured using existing nodes Therefore, it can be a viable andimmediate remedy as conventional scaling becomes less cost effective.

FIGURE 1.3 Intrinsic delay in n-MOS transistor is projected to increase in future nodes despite

continuous down scaling of the device pitch (From Khakifirooz, A., Transport enhancementtechniques for nanoscale MOSFETs, PhD thesis, Cambridge, MA, MIT,2008, http://dspace.mit.edu/handle/1721.1/42907.)

1.2.2.2 On-Chip Interconnect

While dimensional scaling has consistently improved device performance in terms of gateswitching delay, it has a reverse effect on semi-global and global interconnect latency [7] Theglobal interconnect RC delay has increasingly become the circuit performance limiting factorespecially in the deep submicron regime Even though Cu/low-κ multilevel interconnectstructures improve interconnect RC delay, they are not a long-term solution since the diffusionbarrier required in Cu metallization has a finite thickness that is not readily scaled The effectiveresistance of interconnect is larger than it would be in bulk copper, and the difference increaseswith reduced interconnect width Surface electron scattering further increases the Cu lineresistance, and hence the RC delay suffers [8] When the chip size continues to increase toaccommodate for more functionalities, the total interconnects’ length increases at the same time.This causes a tremendous amount of power to be dissipated unnecessarily in interconnects andrepeaters are used to minimize delay and latency On-chip signals also require more clock cyclesto travel across the entire chip as a result of increasing chip size and operating frequency.

Rapid rise in interconnects delay and power consumption due to smaller wire cross-section,tighter wire pitch, and longer lines that transverse across larger chips is severely limiting ICperformance enhancement in current and future nodes 3D IC with multiple active Si layers

Trang 10

stacked vertically is a promising method to overcome this scaling barrier as it replaces long block global wires with much shorter vertical interlayer interconnects as shown in Figure 1.4.1.2.2.3 Off-Chip Interconnect

inter-Figure 1.5a depicts the memory hierarchy in today’s computer system in which the processorcore is connected to the memory (DRAM) via power-hungry and slower off-chip buses on theboard level Data transmission on these buses experiences severe delay and consumes significantamount of power The number of available bus channels is also limited by the amount of externalpin count available on the packaged chips As a consequence, the data bandwidth suffers As thecomputing power in processor increases in each generation, the limited bandwidth betweenprocessor core and memory places a severe limitation on the overall system performance [9].The problem is even more pressing in multi-core architecture as every core will demand for datasupply To close this gap, the most direct way is to shorten the connections and to increase thenumber of data channels By placing memory directly on processor, the close proximity shortensthe connections and the density of connections can be increased by using more advanced CMOSprocesses (as opposed to packaging/assembly processes) to achieve fine-pitch TSV Thismassively parallel interconnection is shown in Figure 1.5b Table 1.1 is a comparison between2D and 3D implementations in terms of connection density and power consumption Clearly, 3Dcan provide bandwidth enhancement (100× increment at the same frequency) at lower powerconsumption (10× reduction) Effectively, this translates into 1000× improvement in bandwidth/power efficiency, an extremely encouraging and impressive number.

FIGURE 1.4 (a) Long global wires on IC can be shortened by chip partitioning and stacking (b)

3D integration reduces the number of long wires on IC.

Trang 11

FIGURE 1.5 (a) Memory hierarchy in today computer system (b) Direct placement of memory

on processor improves the data bandwidth.

Comparison of 2D and 3D Implementations

a Data from Tezzaron, http://www.tezzaron.com.

3D Integration Provides the Best Features as Shown in the Following

Monolithic2.5D/3D IntegrationPackage/Hybrid

Trang 12

Note: Since hermetic seal is formed during stacking, the MEMS sensor does not need to be

encapsulated separately.1.2.3 HETEROGENEITY

Increasingly, there is a need to co-integrate several functional blocks to form a functional systemin a very slim form factor to meet emerging applications in the Wearables and Internet of Things(IoT) era One example is to integrate CMOS electronics and MEMS sensor There exist amyriad of methods for CMOS and sensor integration to realize a smart microsystem such assingle chip monolithic approach or multi-package board approach While monolithic integrationoffers the best in terms of performance, power, and functionality, this method is highly complexand expensive with long time-to-market On the other end, CMOS and sensor chips can beindividually packaged or co-packaged While this method is low cost, one compromises thesystem performance and needs to put up with high power consumption due mostly to the extraparasitic loads presented by the external wire bond interconnect An emerging method forCMOS-sensor integration that can potentially reap the best merits of both monolithic andpackage implementations is 2.5D silicon interposer or 3D stacking The merits are summarizedin Table 1.2.

1.3 OPTIONS OF 3D IC

Trang 13

1.3.1 CLASSIFICATION

There are a number of technology options to assemble ICs in a vertical stack It is possible tostack ICs in a vertical fashion at various stages of processing: (1) post-singulation 3D packaging(e.g., chip-to-chip) and (2) pre-singulation wafer-level 3D integration (e.g., chip-to-wafer, wafer-to-wafer, and monolithic approaches) Active layers can be vertically interconnected usingphysical contact such as bond wire or interlayer vertical via (including TSV) It is also possibleto establish chip-to-chip connection via noncontact (or wireless) links such as capacitive andinductive couplings [11] Capacitive coupling utilizes a pair of electrodes that are formed usingconventional IC fabrication The inductive-coupling input/output (I/O) is formed by placing twoplanar coils (planar inductors) above each other and is also made using conventional ICfabrication The advantages of these approaches are fewer processing steps, hence lower cost, norequirement for ESD protection, low power, and smaller area I/O cell Since there is substantialoverlap between various options and lack of standardization in terms of definition, classificationof 3D IC technology is often not straight forward This section makes an attempt to classify 3DIC based on the processing stage when stacking takes place.

1.3.2 MONOLITHIC APPROACHES

Monolithic, or sequential, 3D IC is described as a build-up process to fabricate vertical layers oftransistors on the same starting substrate using nanoscale interlayer vias as vertical interconnects,rather than stacking substrates and interconnecting them with TSV In these approaches, devicesin each active layer are processed sequentially starting from the bottom-most layer Devices arebuilt on a substrate wafer by mainstream process technology After proper isolation, a seconddevice layer is formed and devices are processed by conventional means on the second layer.This sequence of isolation, layer formation, and device processing can be repeated to build amultilayer structure.

The key technology in this approach is forming a high-quality active layer isolated from thebottom substrate This bottom-up approach has the advantage that precision alignment betweenlayers can be accomplished However, it suffers from a number of drawbacks The crystallinityof upper layers is usually low and imperfect As a result, high-performance devices cannot bebuilt in the upper layers Thermal cycling during upper layer crystallization and deviceprocessing can degrade underlying devices and therefore a tight thermal budget must beimposed Due to the sequential nature of this method, manufacturing throughput is low Asimpler front-end-of-line (FEOL) process flow is feasible if polycrystalline silicon can be usedfor active devices; however, a major difficulty is to obtain high-quality electrical devices andinterconnects While obtaining single-crystal device layers in a generic IC technology remains inthe research stage, polycrystalline devices suitable for nonvolatile memory (NVM) have not onlybeen demonstrated but have been commercialized (e.g., by SanDisk) A key advantage of FEOL-based 3D integration is that IC BEOL and packaging technologies are unchanged; all theinnovation occurs in 3D stacking of active layers A number of FEOL techniques include: laser-beam recrystallization [12,13], seeding-assisted recrystallization [14,15], selective epitaxy andovergrowth [16], and grapho-exitaxy [17].

Trang 14

CEA-Leti has recently unveiled the test results on multilayer transistors stacking for true 3Dmonolithic integration using a “CoolCube” technology This technology uses a cold layer build-up process that eliminates the need for high temperatures that could degrade performance oftransistors or metal interconnects between the layers [18] The technology no longer relies onhigh aspect ratio TSVs The key difference with the conventional TSVs, where two or moreprocessed dies are assembled one on top of another, is the transfer and molecular bonding of athin Si wafer film Since the transferred film is so thin and optically transparent (~1 µm ascompared to tens of µm in TSV), the layer of transistors that are processed on top can be alignedto the bottom transistors with lithographic precision Hence the stacked layers can be connectedat the transistor scale rather than going through the entire die Using solid phase epitaxialregrowth (SPER), dopant activation can be accomplished at temperatures between 450°C and600°C, about half the typical thermal budget for dopant activation Researches from StanfordUniversity have investigated monolithic 3D ICs using carbon nanotube (CNT) structures [19] Inthis method, wafer-scale low temperature CNT transfer processes can be done at 120°C, muchcooler than the CoolCube method The process is Si CMOS compatible, in that the Si FETS canbe used to build only the bottom layer because of the high temperatures required for subsequentSi layers In this design, the next layers are fabricated with CNT layers The density achieved bymonolithic 3D nanoscale interlayer via is 1000× that of TSV Additionally, bonding is notrequired.

Perhaps one of the most impressive demonstrations to date is that of Samsung’s V-NANDtechnology featuring 32 active cell layers at ISSCC’2015 [20] Readers are encouraged to referto numerous articles on the monolithic approach at http://www.monolithic3d.com/blog.

1.3.3 ASSEMBLY APPROACHES

This is a parallel integration scheme in which fully processed or partially processed ICs areassembled in a vertical fashion in the case of 3D stacking or placed side-by-side in closeproximity in the case of 2.5D silicon interposer Stacking can be achieved with one ofthese methods: (1) chip-to-chip, (2) chip-to-wafer, and (3) wafer-to-wafer Vertical connection inchip-to-chip stacking can be achieved using wire bond or TSV The bulk of the discussion in thistext is on 3D stacking using TSV.

Wafer-level 3D integration, such as chip-to-wafer and wafer-to-wafer stacking, use TSV as thevertical interconnect This integration approach often involves a sequence of wafer thinning andhandling, alignment, TSV formation, and bonding The key differentiators are

• Bonding medium: Metal-to-metal, dielectric-to-dielectric (oxide, adhesive, etc.), or hybrid

• TSV formation: Via first, via middle, or via last

• Stacking orientation: Face-to-face or back-to-face stacking• Singulation level: Chip-to-chip, chip-to-wafer, or wafer-to-wafer

Trang 15

The types of wafer bonding potentially suitable for wafer-level 3D integration are depictedin Figure 1.6 Dielectric-to-dielectric bonding is most commonly accomplished using siliconoxide or BCB polymer as the bonding medium These types of bonding provide primary functionas a mechanical bond and the inter-wafer via is formed after wafer-to-wafer alignment andbonding (Figure 1.6a) When metallic copper-to-copper bonding is used (Figure 1.6b), the inter-wafer via is completed during the bonding process; note that appropriate interconnect processingwithin each wafer is required to enable 3D interconnectivity Besides providing electricalconnections between IC layers, dummy pads can also be inserted at the bonding interface at thesame time to enhance the overall mechanical bond strength This bonding scheme inherentlyleaves behind isolation gap between Cu pads and this could be a source of concern for moisturecorrosion and compromise the structural integrity especially when IC layers above the substrateis thinned down further Figure 1.6c shows a bonding scheme utilizing a hybrid medium ofdielectric and Cu This scheme in principle provides a seamless bonding interface consisting ofdielectric bond (primarily a mechanical bond) and Cu bond (primarily an electrical bond).However, very stringent requirements with regards to surface planarity (dielectric and Cu) andCu contamination control in the dielectric layer due to misalignment are needed.

FIGURE 1.6 Wafer-bonding techniques for wafer-level 3D integration: (a)

dielectric-to-dielectric; (b) metal-to-metal; and (c) dielectric/metal hybrid.

Trang 16

The selection of the optimum technology platform is depending on applications Cu-to-Cubonding has significant advantages for highest interwafer interconnectivity As a result, thisapproach is desirable for microprocessors and digitally based system-on-a-chip (SoC)technologies Polymer-to-polymer bonding is attractive when heterogeneous integration ofdiverse technologies is the driver and the interwafer interconnect density is more relaxed;benzocyclobute (BCB) is the polymer most widely investigated Taking advantage of theviscosity of the polymer, this method is more forgiving in terms of surface planarity and particlecontamination Oxide-to-oxide bonding of fully processed IC wafers requires atomic-scalesmoothness of the oxide surface In addition, wafer distortions introduced by FEOL and BEOLprocessing introduces sufficient wafer bowing and warping that prevents sufficient contact areato achieve the required bonding strength While oxide-to-oxide bonding after FEOL and localinterconnect processing has been shown to be promising (particularly with SOI wafers thatallows for extreme thinning down to the buried oxide layer), the increased wafer distortion andoxide roughness after multilevel interconnect processing require extra attention duringprocessing A discussion on SOI-based 3D integration can be found in [21].

TSV can be formed at various stages during the 3D IC process as shown in Figure 1.7 WhenTSV is formed before any CMOS processes, the process sequence is known as “via first.” As theTSV is formed before any FEOL processes, one can consider doped poly-silicon or tungsten (W)as the TSV filler material Since Cu is unable to withstand high processing temperature duringthe FEOL steps, it is not a desirable choice of filler In the case of silicon interposer with noFEOL devices, Cu-TSV can be used and by definition this is a “via first” process It is alsopossible to form the TSV when the front-end processes are completed In this “via middle”process, back-end processes will continue after the TSV process is completed Typically, theconnection between TSV and BEOL metal layer can be done at the bottom most or middle metallayers This “via-middle” process can be part of a foundry process When TSV is formed afterthe CMOS processes are completed, it is known as “via last” process TSV can be formed fromthe front side or the back side of the wafer The schemes mentioned earlier have differentrequirements in terms of process parameters and materials selection The choice depends on finalapplication requirements and infrastructures in the supply chain.

FIGURE 1.7 TSV can be formed at various stages of IC processing.

Trang 17

Another key differentiator in 3D IC integration is related to the stacking orientation One optionis to perform face-to-face (F2F) alignment and bonding with all required I/Os brought to thethinned backside of the top wafer (which becomes the face of the two-wafer stack) Anotherapproach is to temporarily bond the top wafer to a handling wafer, after which the device waferis thinned from the back side and permanently bonded to the full-thickness bottom wafer; afterthis permanent bonding the handling wafer is removed This is also called a back-to-face (B2F)stacking These two stacking orientations are shown in Figure 1.8.

F2F stacking allows a high-density layer-to-layer interconnection, which is limited by thealignment accuracy Handle wafer is not required in F2F stacking and this imposes morestringent requirement on the mechanical strength of the bonding interface in order to sustainshear force during wafer thinning, which is often achieved by mechanical grinding or polishing.Since one of the IC layers is facing down in the final assembly, F2F stacking also complicatesthe layout design as opposed to more conventional layout design whereby IC layers are facingup Another potential disadvantage of F2F stacking relates to the thickening of the effective ILDlayer at the bonding interface, which presents higher barrier for effective heat dissipation.However, since the active layer is flipped and buffered by the thin Si layer, variability andreliability issues related to die/substrate thermo-mechanical stress is reduced B2F stackingrequires the use of a temporary handle, and the layer-to-layer interconnection density is limitedby the TSV pitch Since the device layer is bonded to a temporary handle, the final permanentbond does not need to withstand damages resulting from wafer thinning It requires the use of atemporary bonding medium that can provide sufficient strength during wafer handling and canbe readily released after successful device layer permanent transfer on the substrate The designmethodology and thermal barrier is better compared to that of F2F stacking The active devicelayer is more susceptible to variability and reliability issues due to thermo-mechanical interactionbetween the die and the substrate at the micro-bumps.

Trang 18

FIGURE 1.8 (a) Face-to-face or face-down stacking; (b) back-to-face or face-up stacking.

In wafer-level 3D integration, permanent bonding can be done either in chip-to-wafer (C2W) orwafer-to-wafer (W2W) stacking A comparison of these two methods is summarized in Table1.3 As shown in Figure 1.9, the option of C2W or W2W depends on two key requirements onchip size and alignment accuracy When high-precision alignment is desired in order to achievehigh-density layer-to-layer interconnections, W2W is a preferred choice to maintain acceptablethroughput by performing a wafer level alignment W2W is also preferred when chip size getssmaller.

Comparison between Wafer-to-Wafer and Chip-to-Wafer Stacking

Trang 19

Wafer/die size Wafer/die of common size in order to

high-yield wafer must be used Known good die can be used if pre-stacking testing is availableAlignment

1.3.4 3D INTERCONNECT TECHNOLOGY DEFINITIONS BY ITRS

Since 3D technology is actively pursued by almost all players (such as IDM, fab-less, ICfoundry, semiconductor assembly and test, printed circuit board, and assembly) in the electronicmanufacturing supply chain, a broad variety of technology option is being proposed As a result,the traditional interfaces between all these players are blurring In order to come to a clear visionon road maps for 3D technologies, it is important to come to a clear definition of what is

Trang 20

understood as “3D interconnect” technology The International Technology Roadmap forSemiconductor (ITRS), in the 2009 report on Interconnect, has proposed a classification of thewide variety of 3D technologies (International Technology Roadmap for Semiconductor,Interconnect, 2009, http://www.itrs.net/) that captures the functional requirements of 3Dtechnology at the different hierarchical levels of the system and correspond to the supply chainmanufacturing capabilities The following is a summary of 3D definitions and namingconventions proposed by ITRS.

3D-Interconnect Technology: Technology which allows for the vertical stacking of layers of

“basic electronic components” that are connected using a 2D-interconnect fabric are listed asfollowing “Basic electronic components” are elementary circuit devices such as transistors,diodes, resistors, capacitors, and inductors A special case of 3D-interconnect technology is theSi interposer structures that may only contain interconnect layers, although in many cases otherbasic electronic components (in particular decoupling capacitors) may be embedded.

3D Bonding: Operation that joins two die or wafer surfaces together.

3D Stacking: Operation that also realizes electrical interconnects between the two device levels.3D-Packaging (3D-P): 3D integration using “traditional” packaging technologies, such as wire-

bonding, package-on-package stacking, or embedding in printed circuit boards.

3D-Wafer-Level-Packaging (3D-WLP): 3D integration using wafer-level-packaging

technologies, performed after wafer fabrication, such as flip-chip redistribution, redistributioninterconnect, fan-in chip-size packaging, and fan-out reconstructed wafer chip-scale packaging.

3D-System-on-Chip (3D-SOC): Circuit designed as a system-on-chip, SOC, but realized using

multiple stacked die 3D-interconnects directly connect circuit tiles in different die levels Theseinterconnects are at the level of global on-chip interconnects This allows for extensive use/reuseof IP-blocks.

3D-Stacked-Integrated-Circuit (3D-SIC): 3D approach using direct interconnects between circuit

blocks in different layers of the 3D die stack Interconnects are on the global or intermediate chip interconnect levels The 3D stack is characterized by a sequence of alternating front-end(devices) and back-end (interconnect) layers.

on-3D-Integrated-Circuit (3D-IC): 3D approach using direct stacking of active devices.

Interconnects are on the local on-chip interconnect levels The 3D stack is characterized by astack of front-end devices, combined with a common back-end interconnect stack.

Table 1.4 presents a structured definition of 3D interconnect technologies based on theinterconnect hierarchy This structure also refers to the industrial semiconductor supply chainand allows definition of meaningful road maps and targets for each layer of the interconnecthierarchy.

1.4 TECHNOLOGY PLATFORMS AND STRATEGIES

Trang 21

A number of new enabling technologies must be developed and introduced into the existingfabrication process flow to make 3D integration a reality Depending on the level of granularity,new capabilities include permanent wafer bonding, temporary bonding, and de-bonding, through-silicon/strata-via (TSV), wafer thinning and handling, and precision alignment.There are a number of references on technology platforms available in the literature and thereferences therein [22,23,24] This section describes a 3D integration process based on work onCu thermo-compression bonding originally proposed by researchers at MIT In this scheme, twoFEOL active device wafers are stacked in a back-to-face fashion and bonded by means of lowtemperature Cu-to-Cu thermo-compression bonding Interlayer vertical vias electricallyinterconnect the device layers Low-temperature wafer-bonding is necessary since the pre-bonding device layers already have Al or Cu metal interconnect lines Process sequence in thisproposed 3D integration scheme is illustrated in Figure 1.10a through f Using this process flowas a baseline, key process steps that have to be developed for 3D IC are discussed In addition,this section will primarily discuss low temperature Cu–Cu permanent bonding, which is theauthor’s core research expertise Even though a back-to-face stacking orientation is used for thepurpose of illustration, most of the new process capabilities, except temporary bonding and de-bonding, can be applied for a face-to-face stacking process.

3D Interconnect Technologies Based on Interconnect Hierarchy

Key Features

• Traditional packaging of interconnecttechnologies, for example, wire-bonded diestacks, package-on-package stacks

• Also includes die in PCB integration• No through-Si-vias (TSVs)

• WLP infrastructure, such as redistributionlayer (RDL) and bumping

• 3D interconnects are processed after the ICfabrication, “post-IC-passivation” (via lastprocess) Connections on bond-pad level• TSV density requirements follow bond-paddensity road maps

Trang 22

Global 3D-Stacked IntegratedCircuit/3D-System-on-Chip (3D-SIC/3D-SoC)

IP-blocks, memory-banks), similar to an SoCapproach but having circuits physically ondifferent layers

• Un-buffered I/O drivers (Low C, little orno ESD protection on TSVs)

• TSV density requirement significantlyhigher than 3D-WLP: Pitch requirementdown to 4–16 μmm

IP-blocks stacked in vertical dimensions• Mainly wafer-to-wafer stacking

• TSV density requirements very high: Pitchrequirement down to 1–4 μmm

• Common BEOL interconnect stack onmultiple layers of FEOL

• Requires 3D connections at the densitylevel of local interconnects

Source: ITRS, http://www.itrs.net/, 2009.

Trang 23

FIGURE 1.10 Process flow for 3D integration scheme using Cu wafer bonding (a) Two

(almost) fully processed wafers; (b) SOI wafer is attached to a handle wafer; (c) SOI waferbackside thinning; (d) Cu vias and pads are created; (e) Cu-to-Cu wafer bonding; and (f) Handlewafer release.

1.4.1 HANDLE WAFER ATTACHMENT

Figure 1.10a through f depicts a possible process sequence to fabricate a 3D CMOS inverter Thebottom device layer is an n-MOS device fabricated on bulk Si while the top device layer is a p-MOS device fabricated on SOI wafer independently prior to stacking Note that SOI wafer isproposed for ease of subsequent wafer thinning in this proposed flow and bulk Si wafer is widelyused in the industry To start with, the front side of the top layer is attached to a handle wafer asshown in Figure 1.10b to provide mechanical support for ease of wafer handling Therefore, thebonding has to be strong enough to hold the SOI wafer during subsequent processes Note thatthis bonding is a temporary one, as the handle wafer will be released from the final 3D stack.This dictates the ease of handle wafer release at the end Low-temperature oxide wafer bonding[25] is used in this original proposal Note that the recent advances in temporary bonding usingadhesive and additional discussion can be found in [26] These adhesives include two maintypes: thermo-plastic or thermo-set It is important to note that in the case of face-to-face

Trang 24

bonding, a handle wafer is not required The favorite choice of handle wafer is silicon as it isthermo-mechanically matched to the device wafer and for ease of manufacturing in a silicon-based manufacturing environment There has been attempt to use glass handle due to itstransparency and lower cost However, it is not widely used.

1.4.2 WAFER THIN BACK

In Figure 1.10c, the SOI substrate is thinned back after bonding to a handle wafer Acombination of mechanical grinding, plasma dry etch, and chemical wet etch can be used for thisthinning step In order to achieve good etch stop behavior, it is typical to etch the final 50–100μmm of Si using wet chemical etch The buried oxide (BOX) serves as the etch stop layer as thereis an excellent selectivity between Si and oxide in wet etchant The handle wafer has to beprotected against chemical attack by SiO2 coating When a bulk Si wafer is used, the grinding iscontrolled using precision thickness measurement as there is no BOX etch stop layer Usually acoarse grinding step is used to remove the bulk of the wafer and fine grinding is used at the endto remove the surface damages and to release the stress The major consideration during this stepincludes warpage and total thickness variation.

1.4.3 VERTICAL VIA AND BUMP FORMATION

Backside interlayer vertical vias and Cu pads are created on the thinned SOI wafer There aretwo sets of Cu pads The first set is the via landing pads to form electrical connection betweenboth device layers and the second set is the dummy pads to increase the bonding area henceincreasing the bonding strength This is schematically shown in Figure 1.10d In the current “via-middle” TSV process widely pursued by the industry, high aspect ratio TSV are formed on thetop donor wafer during step 10a During wafer thinning step in Figure 10c, the TSV must bedelicately exposed and stringent control is required to prevent Cu diffusion Depending on theinterconnect routing, a redistribution layer (RDL) might be formed and bumping is completed toconnect the top layer to the bottom substrate wafer A short description of TSV formation isappended as follows.

1.4.3.1 Through-Silicon-Via

Figure 1.11 is a generic process flow of TSV fabrication flow using Cu as the filler metal Itbegins with high aspect ratio deep etching of Si Dielectric liner layer is then deposited on the viasidewall followed by barrier and Cu seed layers deposition Liner layer, which is made ofdielectric layer such as silicon dioxide, provides electrical isolation between Cu core and Sisubstrate The liner thickness must be chosen appropriately to control leakage current andcapacitance between Cu core and Si substrate Cu super conformal filling is then achieved withelectroplating process Super conformal filling is required to prevent void formation in the Cu-TSV Finally, Cu over-burden is removed by chemical mechanical polishing More informationon TSV fabrication can be found in literature such as [22,23,24].

Trang 25

FIGURE 1.11 Generic process flow of Cu-filled TSV fabrication.

1.4.4 ALIGNMENT

Precision alignment is another key technology for 3D stacking Usually, alignment can beaccomplished with different degree of accuracy using: (1) stored image to live image, or (2)

stored image to stored image Sources of alignment error include Δx, Δy, and Δθ (rotational).

These errors are often caused by inherent inaccuracy and additional error during handling orprocessing Depending on the density of 3D interconnects, alignment accuracy <1 µm is oftenrequired with some applications call for <0.5 µm accuracy.

1.4.5 BONDING

Figure 1.10e shows that the top device layer is aligned to the bottom device layer, presumablywith Cu pads already created on it, and bonded at low temperature with a constant down force inan inert ambient A final post-bonding annealing step allows inter-diffusion at the Cu–Cuinterface and promotes grain growth While Cu–Cu bonding offers the most desirable physicalproperties, reliability, and scalability, the bonding requirements are typically demanding and lowthroughput is often cited Cu/Sn micro-bump and Cu pillar are two promising options pursued inthe industry.

Using metal as bonding interface between active layers is an attractive choice because metal is agood heat conductor and this will help circumvent the heat dissipation problem encountered in a3D IC At the same time, a metal interface allows additional wiring and routing Cu is a metal ofchoice because it is a mainstream CMOS material, and it has good electrical (ρCu = 1.7 mΩ cm vs

ρAl = 2.65 mΩ cm) and thermal (KCu = 400 W/m/K vs KAl = 235 W/m/K) conductivities and

longer electro-migration lifetime Another advantage offered by metal bonding interface is thatthe metal layer can act as a ground shield if properly grounded, hence providing better noiseisolation between device layers on the stack.

1.4.5.1 Cu–Cu Permanent Bonding

3D integration of ICs by means of bump-less Cu–Cu direct bonding is an attractive choice as oneaccomplishes both electrical and mechanical bonds simultaneously Cu–Cu direct bonding isdesired compared to solder-based connections because: (1) Cu–Cu bond is more scalable andultra-fine pitch can be achieved; (2) Cu has better electrical and thermal conductivities; and (3)

Trang 26

Cu has much better electro-migration resistance and can withstand higher current density infuture nodes Direct Cu–Cu bonding has been demonstrated using thermo-compression bonding(also known as diffusion bonding) As the name implies, thermo-compression bonding involvessimultaneous mechanical pressing (~200 kPa) and heating of the wafers (~300°C–400°C) Twowafers can be held together when the Cu thin films bond together to form a uniform bondedlayer In order for this technique to be applicable to wafers that carry device and interconnectlayers, an upper bound of temperature step is set at 400°C to prevent undesired damagesparticularly to the interconnects The main objective of this Cu thermo-compression bondingstudy is to explore its suitability for utilization as a permanent bond that holds active devicelayers together in a multilayer ICs stack Cu is a metal of choice for 3D ICs application becauseit is a mainstream CMOS material, and it has better electrical and thermal conductivitiescompared to Al-based interconnect Most importantly, Cu bonds to itself under conditionscompatible with CMOS backend processes as initially demonstrated by Fan et al [27].

Since Cu is an electrically conductive medium, isolation is needed One solution is to formdamascene Cu lines and to perform hybrid bonding of Cu and dielectric A few examples are1 Jourdain et al [28] at IMEC have successfully demonstrated the 3D stacking of an extremelythinned IC chip onto a Cu/oxide landing substrate using simultaneous Cu–Cu thermo-compression and compliant glue-layer (BCB) bonding The goal of this intermediate BCB gluelayer between the two dies is to reinforce the mechanical and thermal stability of the bondedstack and to enable separation of die pick-and-place operations from a collective bonding step.2 Gutmann et al [29] at RPI have demonstrated another scheme of hybrid bonding using face-to-face bonding of Cu/BCB redistribution layers The first step is to prepare the single-leveldamascene-patterned structures (Cu and BCB) by CMP in the two Si wafers to be bonded Thesecond step is to align the two wafers and bond the two aligned wafers.

3 Researchers at Ziptronix have developed a Cu/oxide hybrid bonding technology known asDirect Bond Interconnect (DBI™) [30] Vertical interconnections in direct oxide bond DBI areachieved by preparing a heterogeneous surface of nonconductive oxide and conductive Cu Thesurfaces are aligned and placed together to effect a bond The high-bond energies possible withthe direct oxide bond between the heterogeneous surfaces result in vertical DBI electricalinterconnections.

1.4.5.2 Low-Temperature Cu Bonding

Thermo-compression bonding of Cu layers is typically performed at a temperature of 300°C orhigher There is a strong motivation to move the bonding temperature to even lower rangeprimarily from the point of view of thermal stress induced due to CTE mismatch of dissimilarmaterials in a multilayer stack and temperature swing A number of approaches have beenexplored:

1 Surface-activated bonding [31]: In this method, a low energy Ar ion beam is used to activate

the Cu surface prior to bonding Contacting two surface-activated wafers enables successful Cu–Cu direct bonding The bonding process is carried out under an ultrahigh vacuum (UHV)

Trang 27

condition No thermal annealing is required to increase the bonding strength Tensile test resultsshow that a high bonding strength equivalent to bulk material is achieved at room temperature In[32], adhesion of Cu–Cu bonded at room temperature in UHV condition was measured to beabout ~3 J/m2 using AFM tip pull-off method.

2 Cu nanorod [33]: Recent investigation on surface melting characteristics of copper-nanorod

arrays shows that the threshold of the morphological changes of the nanorod arrays occurs at atemperature significantly below the copper bulk melting point With this unique property of thecopper nanorod arrays, wafer bonding using copper nanorod arrays as a bonding intermediatelayer is investigated at low temperatures (400°C and lower) Silicon wafers, each with a coppernanorod array layer, are bonded at 200°C–400°C The FIB/SEM results show that the coppernanorod arrays fuse together accompanied by a grain growth at a bonding temperature of as lowas 200°C.

3 Solid–liquid inter-diffusion bonding (SLID) [34]: This method involves the use of a second

solder metal with low-melting temperature such as Tin (Sn) in between two sheets of Cu withhigh-melting temperature Typically a short reflow step is followed by a longer curing step Therequired temperature is often slightly higher than Sn melting temperature (232°C) Theadvantages of SLID is that the inter-metallic phase is stable up to 600°C and the requirement ofcontact force is not critical.

4 In the DBI technology described in [30], a moderate post-oxide bonding anneal may be usedto effect the desired bonding between Cu Due to the difference in coefficient of expansionbetween the oxide and Cu and the constraint of the Cu by the oxide, Cu compresses each otherduring heating and a metallic bond can be formed.

5 Direct Cu–Cu bonding at atmospheric pressure is investigated by researchers at LETI [35].By means of CMP, the roughness and hydrophily (measured by contact angle) of Cu film areimproved from 15 to 0.4 nm and from 50° to 12° Blanket wafers were successfully bonded atroom temperature with an impressive bond strength of 2.8 J/m2 With a post-bonding annealing at100°C for 30 min, the bonding strength was improved to 3.2 J/m2.

6 A novel Cu–Cu bonding process has been developed and characterized to create all-copperchip-to-substrate I/O connections [36] Electroless copper plating followed by low-temperatureannealing in a nitrogen environment was used to create an all-copper bond between copperpillars The bond strength for the all-copper structure exceeded 165 MPa after annealing at180°C While this technique is demonstrated as a packaging solution, it is an attractive lowtemperature process for Cu–Cu bonding.

7 In the author’s research group, a method of Cu surface passivation using self-assembledmonolayer (SAM) of alkane-thiol has been developed This method has been shown to beeffective to protect the Cu surface from particle contamination and to retard surface oxidation.

The SAM layer can be thermally desorbed in situ in the bonding chamber rather effectively,

hence providing clean Cu surface for successful low-temperature bonding Cu wafers bonded at250°C present significant reduction in micro-void and substantial Cu grain growth at the bondinginterface [37,38,39,40].

Trang 28

1.4.6 HANDLE WAFER RELEASE

In the proposed flow presented in Figure 1.10, the top donor wafer is bonded to the siliconhandle wafer using oxide fusion bonding Handle wafer can be removed from the final 3D stackby a combination of mechanical grinding and wet etch Alternatively, a less abusive method suchas hydrogen-induced wafer splitting can be used Hydrogen is implanted into the handle waferprior to bonding The handle wafer can be released by annealing at a temperature higher thantemperature during Cu thermo-compression bonding to form the permanent bond Whenadhesive is used as the temporary bonding medium, handle wafer release can be achieved bythermal release followed by mechanical lift-off, laser ablation, or chemical release The clearadvantage of these release methods is that the handle wafer can be recovered and reused.

1.4.7 2.5D SILICON INTERPOSER

Another approach which is widely considered is the 2.5D silicon interposer (Figure 1.12) In thisapproach, processed dies (without TSV) are placed side-by-side in close proximity.Interconnections between dies are done using fine-pitch horizontal interconnects on the siliconsubstrate afforded by advanced silicon processing TSV are fabricated in the silicon interposer toconnect the dies to the conventional package for power, ground, and I/O Besides silicon, glass isalso being considered as a strong contender due to its low cost and insulating property.Collaboration between TSMC and Xilinx has demonstrated a 28 nm FPGA chip that can bepartitioned into four smaller dies and assembled on silicon interposer The interconnects on thesilicon interposer, including TSV, are fabricated in a 65 nm technology node.

1.4.8 DEMONSTRATOR

Heterogeneous integration of MEMS and CMOS is critical in future development of multisensordata fusion in a low-cost chip size system for Wearable and IoT applications MEMS/CMOSintegration was primarily done using monolithic and hybrid/package approaches until recently.In this demonstration [41], 3D CMOS-on-MEMS stacking without TSV using direct (i.e., solder-less) metal bonding, as shown in Figure 1.13, is discussed This MEMS/CMOS integration leadsto a simultaneous formation of electrical, mechanical, and hermetic bonds, eliminates chip-to-chip wire-bonding, and hence presents competitive advantages over hybrid or monolithicsolutions This stacking method makes use of an active capping layer (which is the CMOS

layer), hence it eliminates the need for an ex situ hermetic seal.

Trang 29

FIGURE 1.12 2.5D silicon interposer offers the benefits of packaging and monolithic

approaches It provides flexibility in manufacturing especially for disparate dies as compared to3D stacking.

Trang 30

FIGURE 1.13 Heterogeneous 3D CMOS-on-MEMS stacking with face-to-face direct metal

bonding (no solder) that realizes electrical, mechanical, and hermetic bonds simultaneously.Besides acting as the processing unit, the CMOS chip also acts as an active cap for the MEMSchip There is no wire-bonding from chip to chip, hence this will improve delay and powerconsumption Since I/O count is low, TSV is not used Electrical feed-through is accomplishedby peripheral pads.

FIGURE 1.14 MEMS capacitive accelerator is fabricated on SOI wafer using DRIE and release.

Single layer of patterned metal consists of electrical contact pad and hermetic seal is used.Electrical feed-throughs are routed through the on-chip interconnect in the CMOS chip.

MEMS: SOI-based (resistivity ~0.002 Ω/cm) capacitive MEMS accelerometer (sensitivity ~4.88

fF/g) is designed and fabricated by DRIE as shown in Figure 1.14 A metal layer is patterned onthe MEMS prior to etching for electrical contact and hermetic seal The resonant frequency is~136 kHz.

Readout circuit: A CMOS readout circuit (2 mm × 2 mm) is designed and implemented using

0.35 μmm (2P4M) MPW process The readout circuit comprises of a low-noise gain stage, a fullydifferential synchronous demodulator, and an off-chip low-pass filter The block diagram ispresented in Figure 1.15 The low-noise, band-pass gain stage is realized using two single-endedoutput amplifiers, based on folded-cascode architecture Tunable feedback capacitance allowsvariable gain so that the same readout circuit can be used with accelerometers of differentsensitivities Synchronous demodulation is achieved using a four-switch, full-waverectifier Figure 1.16 shows the die micrograph.

Trang 31

FIGURE 1.15 System block diagram: The MEMS and the ASIC have been seamlessly

integrated to form a single bonded chip There is no wire-bonding from chip to chip chip electrical connections are done using direct metal bonding without solder.

Chip-to-FIGURE 1.16 Die micrograph of the readout circuit fabricated through MPW (0.35 μmm, 2P4M

process) Key components are highlighted and specific ones are sealing ring, mechanical support,and alignment mark to assist CMOS–MEMS bonding.

Stacking process: In order to ensure proper operation, the delicate micro-structures (MEMS)

should be protected from the ambient In this approach, a hermetic seal ring is formedsimultaneously during stacking of CMOS on MEMS and hence eliminating the need for post-processing hermetic encapsulation Effectively, the CMOS layer acts as an “active cap.” Inaddition, I/Os to the MEMS chip are routed through the CMOS metal layers to simplify the

Trang 32

MEMS process (Figure 1.1) Since the I/O count is low, TSV is not used and electrical through is achieved by peripheral pads As no solder is applied, the top passivation layer of theCMOS chip is partially recessed to expose the CMOS metal layer for ease of direct bonding withthe MEMS metal layer The metal surfaces are carefully treated and bonded (thermo-compression at 300°C, 50N, 10 min) The bonded samples were packaged inside 44-pin J-leadedceramic package for testing as shown in Figure 1.17.

feed-Validation of the bonded CMOS/MEMS chip: The frequency range over which the readout circuit

works is decided by the corner frequencies of the low-noise, band-pass gain stage Themeasurement results for the bonded MEMS-CMOS chip are shown in Figure 1.18, when the chipwas excited by 1 Vpp, 50 kHz differential sinusoids The variation in the peak-to-peak amplitudeof the gain-stage output with respect to an excitation carrier is observed as the chip is flipped

between −1 g/+1 g orientations The minimum amplitude corresponds to the chip at0 g orientation The amplitude of the gain-stage output grows in-phase and anti-phase withrespect to carrier in +g and −g flip directions, respectively, which suggests that the bonded chip

is working as desired The maximum amplitudes (pp) observed in the two flip directions areroughly equal, thereby implying an approximately symmetrical behavior of the accelerometer.

Bonding reliability: The metal bonding quality is investigated using four-point bending test and

helium leak test The mechanical strength and hermeticity are studied based on the 883E, 1014.9 standard The reliability of the hermetic seal is studied using thermal cycling(−40°C to 125°C), humidity (IPC/JEDEC J-STD-020), and corrosion tests No seriousdegradation is found and the hermeticity is maintained at below 5 × 10−8 atm cm3/s.

MIL-STD-FIGURE 1.17 The CMOS chip is bonded face-to-face on the MEMS chip The bonded chip is

then wire bonded to the package for electrical testing The vertically stacked CMOS and MEMSchip has a thickness of 1155 µm.

1.5 APPLICATIONS, STATUS, AND OUTLOOK

Trang 33

Applications enabled by 3D fall into a few categories depending on the use of TSV and bonding.At the time of this writing, there are already commercial products made with TSV, such asCMOS image sensor and memory stack, and many others are still under research anddevelopment This section samples a number of closely watched applications enabled by 3Dtechnology including image sensor, high-density memory stack, memory/logic integration, andmore futuristic ones like 3D heterogeneous systems It ends with a positive note on the currentstatus and future outlook of 3D integration.

The main drivers for 3D applications include: (1) form factor, such as replacing wire bond withTSV in CMOS image sensor, (2) high density, such as stand-alone memory stack, (3)performance, such as bandwidth enhancement in memory on logic, and (4) heterogeneousintegration of disparate chips Regardless of the main driver, the feasibility and key considerationof any 3D application for consumer products has always been low cost manufacturing.

Broadly, applications enabled by 3D technology can be classified into three categories as shownin Figure 1.19 The first group of products only utilizes TSV such as CMOS image sensor (at thetime of writing, there are commercial products from companies such as ST Microelectronics,Toshiba, OKI, etc.), backside ground (e.g., SiGe power amplifier by IBM), and silicon interposer(focus on the interposer before functional dies placement) In this class of devices, chip-to-chipbonding is not required In another group, 3D devices are implemented by bonding chip on chipin a face-to-face fashion (see demonstration Section 1.4) I/O is formed using conventional wirebond or flip chip at the nonbonding periphery area One such example is the Sony Play Stationfeaturing memory on logic The real 3D devices that make use of both TSV and bonding includestand-alone high density memory stack, memory on logic, logic on logic, and heterogeneoussystems At the time of this writing, there has been announcement and engineering products on amultilayer DRAM stack using 3D stacking technology There are a number of emerging driversand trends that are closely related to 2.5D/3D technology Two of them are highlighted anddiscussed.

Trang 34

FIGURE 1.18 Gain-stage output (yellow, upper trace) when excitation carriers are at 1 Vpp

(blue, lower trace) under: 0 g, +g cos(45°), +1 g, −g cos(45°) and −1 g orientations.

FIGURE 1.19 Applications enabled by 3D technology.

Trang 35

FIGURE 1.20 Conceptual diagram of a 3D integrated photonic interconnect network (From

IBM, http://www.research.ibm.com/, accessed on December 2014.)1.5.1 SILICON PHOTONICS

The integration of 3D photonic interconnects with multi-core processors is expected todramatically reduce the power of interconnects particularly in the regime where the interconnectdistance is long, and the data rates are high A conceptual 3D optical interconnect scheme isshown in Figure 1.20 from IBM Here a complete network-on-a-chip is shown, which utilizes abottom multi-core processor layer, an intermediate memory layer, and an optical interconnectlayer on top In such a system, local interconnects could be provided by standard metal wiring,whereas global connections would be made using a photonic interconnect fabric consisting ofsilicon waveguides The photonic network provides the additional advantage that off-chip I/O isachieved at the same bandwidth with little additional power Apart from this 3D stackingapproach, one could also think about leveraging on the benefits afforded by 2.5D siliconinterposer to co-integrated photonics and electronics.

1.5.2 ITRS 2.0

ITRS 2.0 [42] was first introduced in April of 2014 and full documentation is expected in the endof 2015 Many elements of semiconductor manufacturing have been mapped into seven focustopics: System integration, Outside System Connectivity, Heterogeneous Integration,Heterogeneous Components, Beyond CMOS, More Moore, and Factory Integration As madeclear in the seven focus areas, 2.5D/3D will play a bigger role in ITRS 2.0 as these technologiesare critical to high-density heterogeneous integration.

For more than 40 years, performance growth in IC is realized primarily by geometrical scaling.In more recent nodes, performance boosters are used to sustain this historical growth Movingforward, 3D integration is an inevitable path There has been significant investment in 3Dtechnology by various sectors and the development has been both rewarding and encouraging.While 3D technology is not without its challenges, it is likely that the industry will continue to

Trang 36

come out with solutions in thermal management, EDA tools, testing, and standardization Wideadoption of 2.5D/3D technology is expected to happen when a competitive cost can be achieved.ACKNOWLEDGMENT

The author (CST) is supported by funding from the Nanyang Technological University throughan award of Nanyang Assistant Professorship, Defense Science and Technology Agency (DSTA,Singapore), Agency for Science, Technology and Research (ASTAR), Semiconductor ResearchCorporation (SRC, USA) through a subcontract from the Interconnect and Packaging Center atthe Georgia Institute of Technology, and Defense Advanced Research Projects Agency(DARPA, USA).

2 Overview of Physical Design Issues for 3D-Integrated Circuits

Drivers, Technology, Applications, and Outlook

Aida Todri-Sanial

Introduction2.2

Overview of 3D Physical Design Challenges2.3

Challenges and Solutions for 3D Power Delivery Networks2.4

Challenges and Solutions for 3D Clock Delivery Networks2.5

Challenges and Solutions for 3D Placement and Routing2.6

Challenges and Solutions for 3D Floorplanning2.7

Challenges and Solutions on TSV Sizing and Placement2.8

Summary and ConclusionsReferences

ABSTRACT

Trang 37

Three-dimensional integration presents a broad spectrum of challenges to designers of performance and energy-efficient circuits Seeking to improve both the energy efficiency andthroughput density, designers have turned to physical design and optimization methods targetingdevices—interconnects to system-level power savings This chapter provides an overview of thedifferent physical design challenges and solutions that span various levels from devices andcircuits to architectures.

high-2.1 INTRODUCTION

Three-dimensional integrated circuits (3D ICs) present a novel design paradigm and opportunityto address the current challenges the semiconductor industry is facing with aggressive Moore’slaw scaling 3D ICs can provide potential benefits such as reduced power consumption,improvement in delay, and higher integration density Through-Silicon-Vias (TSVs) are the keyenablers for 3D stacking by allowing direct and less resistive signal paths between verticallystacked circuit layers TSVs can help reduce the wire lengths from 2D ICs and also allowreplacement of chip-to-chip interconnections by intra-chip connections Such advancements haveled to design and implementation of heterogeneous systems on the same platform, that is, Flash,DRAM, SRAM-placed atop logic devices, and microprocessor cores.

However, TSV parasitics contribute to the power, signal, and thermal integrity of the fullsystems Moreover, densely packaged vertical circuit layers introduce significant thermal andpower integrity challenges compared to 2D systems.

Fundamentally, 3D ICs change how circuits are designed, analyzed, and verified Physical designtakes a new dimension as new constraints and cost functions become more important andconventional extensions of 2D design approaches are simply not sufficient to solving theseproblems.

In this chapter, we provide an overview of the various design challenges that 3D integrationintroduces and the present state-of-art solutions for addressing these challenges The followingsections present the current landscape for each 3D physical design challenge.

2.2 OVERVIEW OF 3D PHYSICAL DESIGN CHALLENGES

As modern processor chips will demand for even larger cache sizes and bandwidth to get themaximum system performance, industry is looking into 3D integration technology as a viableoption for increasing cache capacity and bandwidth requirements 3D integration overcomesmany limitations from the traditional 2D IC design It offers tighter integration of heterogeneoustechnologies and significant density benefit with an increase in chip interconnects resulting inshort wires that are also low power as well.

There are also many design issues that 3D integration arises that impact the overall physicaldesign methodology 3D integration poses fundamental differences on computer-aided designapproaches specifically on clock and power distribution, architectural planning and partitioning,TSV sizing and insertion, placement and routing, high-level design system, and several others,which we will discuss on the following subsections and more in-depth in the rest of this book.

Trang 38

2.3 CHALLENGES AND SOLUTIONS FOR 3D POWER DELIVERY NETWORKS

Design of 3D power delivery networks is one of the main challenges in 3D integration Powerdelivery in 3D systems draws much larger current from package and power/ground networksthan in conventional 2D systems due to multiple circuit layers The large current demand leads to

significant voltage droop (accumulated IR drop, L di/dt, and RC dv/dt effects) due to the

parasitics of power and ground networks and TSVs Furthermore, the surge of current can lead to

considerable di/dt effects due to on-chip and package inductances.

Due to the increased power density and greater thermal resistance to heat sink, thermal integrityis a crucial challenge for reliable 3D integration High temperatures can degrade the reliabilityand performance of interconnects and devices Power/ground network resistivity is a function oftemperature, thus at nodes with high temperature, voltage droop values become even worse.Furthermore, the large amount of current on power and ground networks flowing for significantamount of time can ultimately elevate the temperature and cause Joule heating phenomena andelectromigration Thus, voltage droop and temperature are interdependent and should beconsidered simultaneously for reliable design of 3D power delivery networks In 3D systems,voltage droop and temperature increase in opposite direction Voltage droop tends to increase fortiers further away from package and close to heat sink while temperature increases for tiersfurther away from heat sink and near to package Additionally, each vertical tier can be ofdifferent technology and power demand, which would require a customized power deliverynetwork Thus, there are several challenges and constraints to be taken into account for designingreliable 3D power delivery networks.

There are several research papers that have addressed 3D power delivery design problem, andthey can be categorized into two groups First group investigates TSV topology, power, andthermal integrity analysis of 3D PDNs [14,15,33,37,43,44,45], whereas in the second groupoptimization methods are proposed for reliable 3D PDNs [5,19,35,38] More in-depth overviewof 3D power delivery design is given in Chapters 6 and 8.

2.4 CHALLENGES AND SOLUTIONS FOR 3D CLOCK DELIVERY NETWORKS

Clock distribution is another challenging problem for 3D ICs Clock signals are distributed overthe entire 3D stack to feed all the sequential logic Clock skew, also defined as the maximumdifference between clock source and sink arrival times, is required to be within 5% of the clockperiod for high performance systems Hence, clock skew control is one of the main challengesfor reliable 3D clock network design and it can also cause setup/hold time violations Moreover,the clock signal is distributed not only on each circuit layer but also on the vertical directionthrough the TSVs from one tier to another Overall, 3D clock network needs to drive a largecapacitive load at high switching frequency This also leads to a large portion of powerconsumption dissipated on clock networks In some designs, the power consumption of clocknetworks can reach up to 50% of total chip power Thus, skew control and low power are theprimary constraints that need to be considered for designing 3D clock networks.

TSVs not only provide short connections between tiers, they also contribute with their parasitics,and their placement and sizing play a key role on overall 3D clock network performance and

Trang 39

overall power consumption Additionally, the different types of TSVs such as via-first, middle,or last can indicate the number and sizes of TSVs, which will further impact the TSV parasiticsand overall clock skew and power consumption of 3D clock networks There are several worksthat addressed the challenges of 3D clock network design [24,25,30,34,47,48,49,50] More in-depth overview of 3D clock networks is given in Chapter 7.

2.5 CHALLENGES AND SOLUTIONS FOR 3D PLACEMENT AND ROUTING

Placement and routing are one of the most imminent problems to solve with migrating from 2Dto 3D circuits As already mentioned, one concern for 3D ICs is that power density is muchhigher than in 2D circuits Thus, high levels of temperature build-up and thermal gradientsbecome a first-order objective to be considered during placement and routing.

During placement, logic cells are arranged in row-based topology to satisfy the layout constraintsand achieve a reasonable temperature distribution while also satisfying traditional topologicalplacement constraints The challenge also arises from the TSV placement and circuit area spacethat they occupy The placement tool for 3D integration become more complex trying to satisfythe thermal and power density issues, layout, and 3D topological constraints, while also ensuringthat the algorithms are scalable and runtime efficient to handle large size problems.

Placement algorithms will try to equalize the thermal profile however to a certain degree,otherwise it will create unbalance on other criteria, that is, wire lengths and signal integrity.Moreover, creating a uniform thermal profile is unrealistic to the varying switching activity ofthe circuits and lack of heat dissipation paths for middle tiers One solution to this issue is byinserting thermal vias to alleviate some of the heat build-up and improve heat dissipation.Thermal vias are inter-tier connections but with no electrical connectivity Their role is toremove heat from hot spots to the heat sink Several works have investigated [3,4,9,10,20,26,36]optimal insertion of thermal vias and cell placement Their insertion can be addressed at differentlevels of the design to ensure overall thermal integrity of the 3D system.

Once placement is performed, the circuit needs to undergo routing to obtain a complete circuitlayout Similar to 2D routing algorithms, 3D routing algorithms need to address the sameconstraints such as avoiding blockages due to areas occupied by thermal vias, taking into accountthe thermal impact on wire delays, overall wire lengths, timing, congestion, and routingcompletion Several works have looked into 3D routing problem [6,8,12,31,32,46].Additionally, Chapter 5 provides more insight to the 3D placement and routing methodology.2.6 CHALLENGES AND SOLUTIONS FOR 3D FLOORPLANNING

One of the first steps for determining which circuit blocks should be placed close together andallocating the space is floorplanning In 3D ICs, finding a suitable floorplan becomes morecomplex due to TSVs and nonuniform thermal gradients between metal, dielectric, and circuitlayers Thus, consideration of TSV impact on overall power and thermal integrity of the systemshould be considered from an early phase of the design cycle in order to avoid re-design andcostly re-spins The impact of TSVs on interconnect delay depends on its dimensions,technology and filling material, their placement, and number of neighboring TSVs.

Trang 40

Several approaches have been published in the literature [1,2,11,13,16,29,40,41,42,51] where theTSV impacts are considered for both power and thermal management while having a minimalimpact on net delay and wire lengths During the floorplanning process, it is important toconsider TSV dimensions and their placement in order to perform multivariable optimization ofthe circuit for better performance and thermal dissipation.

2.7 CHALLENGES AND SOLUTIONS ON TSV SIZING AND PLACEMENT

As aforementioned, TSV plays an important role on the overall 3D system performance, power,and thermal integrity Their sizing, placement, and distribution are key knobs for being able tocontrol and ease power consumption and excessive thermal dissipation Typical diameters of via-first TSVs range from 1 to 5 μmm and via-last TSVs range from 5 to 20 μmm Also, TSVs arefabricated in bulk silicon, which consumes silicon area for logic gates Additionally, there is akeep-out-zone area between TSVs to avoid any coupling or thermal stress on devices, whichfurther limits the circuit area space Because of these constraints, inserting a large number ofTSVs into 3D ICs can lead to important area overhead Additionally, connecting TSVs to theintermediate and local level interconnects might cause routing congestions Therefore, theirsizing and placement should be considered carefully during the early design stages.

Several papers have considered the impact of TSVs during the placement and routing designflow [7,17,18,21,22,23,27,28,39] TSV assignment algorithms have been developed and comparethe impact of TSVs on wire lengths, circuit area, power consumption, and thermal dissipation.2.8 SUMMARY AND CONCLUSIONS

In comparison to 2D ICs, 3D ICs impose fundamentally different physical design methodologiesto cope with the complexity introduced by the vertical dimension As summarized in this chapter(and in the next chapters), several challenges are unique to 3D integration such as heat build-upon middle layers, TSV thermal stress, and keep-out-zone requirement around TSVs.

In the recent years, academia and industry have devoted a lot of efforts into understanding theimplications and complexity introduced by TSVs There are novel challenges in all aspects ofphysical design such as floorplanning, placement and routing, power and clock deliverynetworks, TSV sizing and distribution, etc While, 3D integration is becoming a more viabletechnology for addressing the power wall from aggressive scaling, several physical designsolutions already exist to allow exploration of optimal system design for performance, power,and thermal integrity The rest of this book is dedicated to address each individual’s physicaldesign challenge and provide an in-depth view on the dedicated solutions.

3 Detailed Electrical and Reliability Study of Tapered TSVs

Tiantao Lu and Ankur Srivastava

Ngày đăng: 09/08/2024, 08:38

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w