1. Trang chủ
  2. » Luận Văn - Báo Cáo

Đồ án tốt nghiệp thiết kế, mô phỏng bộ lọc nhiễu tín hiệu điện tim dùng matlab và chuyển mã vhdl

126 0 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

TR B GIÁO D C & ĐÀO T O NG Đ I H C S PH M K THU T TP H CHÍ MINH KHOA ĐI N – ĐI N T B MÔN ĐI N T CÔNG NGHI P – Y SINH - Đ ÁN T T NGHI P Tà NGÀNH CÔNG NGH K THU T ĐI N T iệ il Đ TÀI: TRUY N THÔNG u THI T K , MÔ PH NG B L C NHI U TÍN HI U ĐI N TIM DÙNG MATLAB VÀ CHUY N MÃ VHDL TE U CM H GVHD: ThS Nguy n Thanh Nghƿa SVTH: Tr n Phan Ái M MSSV: 14141200 Tp H Chí Minh – 01/2019 TR B NG ĐH SPKT TP H CHÍ MINH C NG HÒA XÃ H I CH NGHƾA VI T NAM KHOA ĐI N-ĐI N T Đ C L P - T DO - H NH PHÚC MÔN ĐI N T CÔNG NGHI P – Y SINH o0o -Tp HCM, ngày 03 tháng 10 năm 2018 NHI M V Đ ÁN T T NGHI P H tên sinh viên: Tr n Thanh Lâm Tr n Phan Ái Mỹ Chuyên ngành: Đi n t công nghi p H đào t o: Đ i h c quy Khóa: 2014 I TÊN Đ TÀI: MSSV: 14141160 MSSV: 14141200 Mã ngành: 14941 Mã h : K14941 L p: 14941DT THI T K , MÔ PH NG B L C NHI U TÍN HI U II NHI M V il Tà ĐI N TIM DÙNG MATLAB VÀ CHUY N MÃ VHDL iệ Các s li u ban đ u: - Tín hi u n tim ECG đ - S dụng bợ x lý kit FPGA Altera – DE2-115 u c thu th p Matlab CM H Nội dung th c hi n: Tìm hiểu về bộ l c thông th p, l c thông cao, l c thông d i - L a ch n ph n cứng, nghiên cứu, phân tích nguyên tắc ho t động của từng kh i U - - TE để xây d ng mơ hình hồn chỉnh cho h th ng Thi t k mô ph ng bợ l c tín hi u n tim Matlab chuyển mã VHDL III NGÀY GIAO NHI M V : 03/10/2018 IV NGÀY HOÀN THÀNH NHI M V : 10/01/2019 V H VÀ TÊN CÁN B H CÁN B H NG D N NG D N: ThS Nguy n Thanh Nghĩa BM ĐI N T CÔNG NGHI P – Y SINH Trang i TR B NG ĐH SPKT TP H CHÍ MINH C NG HỊA XÃ H I CH NGHƾA VI T NAM KHOA ĐI N - ĐI N T Đ C L P - T DO - H NH PHÚC MÔN ĐI N T CÔNG NGHI P – Y SINH o0o -Tp HCM, ngày 05 tháng 10 năm 2018 L CH TRÌNH TH C HI N Đ H tên sinh viên 1: Tr n Thanh Lâm L p: 14941DT H tên sinh viên 2: Tr n Phan Ái Mỹ L p: 14941DT Tên đề tài: THI T K , MÔ PH NG B ÁN T T NGHI P MSSV: 14141160 MSSV: 14141200 L C NHI U TÍN HI U ĐI N TIM DÙNG MATLAB VÀ CHUY N MÃ VHDL Tuần/ngày Nội dung Xác nhận GVHD u iệ il Tà Tìm ý t ởng cho đề tài, xây d ng đề Tu n c ng, sắp x p lịch trình th c hi n đồ 03/10/2018 – 08/10/2018 án Tu n 2, 3, Phân tích yêu c u h th ng, tìm hiểu 09/10/2018– 29/10/2018 c sở lý thuy t về tín hi u n tim, lý thuy t về bộ l c Tu n 5, 6, Tìm hiểu lý thuy t về kit FPGA 30/10/2018 – 19/11/2018 De2_115 CM H Xây d ng phân tích s đờ kh i của h th ng U Tu n 20/11/2018– 26/11/2018 TE Tu n 9, 10 Ti n hành l p trình, thi t k bộ l c 27/11/2018 – 10/12/2018 FDATool của Matlab Tu n 11, 12 Ti n hành mô ph ng, ch y th ho t 11/12/2018 – 24/12/2018 động của bộ l c chỉnh s a l i Tu n 14, 15 25/12/2018 – 10/01/2018 Vi t hoàn thi n báo cáo GV H NG D N (Ký ghi rõ h tên) Trang ii L I CAM ĐOAN Đề tài nhóm chúng em th c hi n d a vào một s tài li u công trình nghiên cứu tr c khơng chép từ tài li u hay cơng trình có tr Ng c i th c hi n đề tài Tr n Thanh Lâm Tr n Phan Ái M u iệ il Tà TE U CM H Trang iii L IC M N L i đ u tiên, nhóm em xin g i l i c m n chân thành sâu sắc nh t đ n Th y Nguy n Thanh Nghĩa Th y t n tình h ng d n, góp ý định h ng, t o m i điều ki n cho nhóm em su t trình th c hi n đề tài t t nghi p Nhóm em xin chân thành c m n đ n t t c th y cô Khoa Đi n – Đi n t , Tr ng Đ i H c S Ph m Kỹ Thu t Tp HCM, nh ng ki n thức kinh nghi m quý báu mà chúng em nh n đ c từ th y cô su t trình theo h c s hành trang t t nh t giúp chúng em v ng b c s nghi p của mình Nhóm em xin chân thành c m n Ban Giám Hi u Tr ng Đ i H c S Ph m Kỹ Thu t Tp HCM t o điều ki n cho chúng em làm đồ án Tà Cu i cùng, chúng em xin g i nh ng l i tri ân đ n gia đình, b n bè, nh ng ng i u iệ h c t p il thân yêu nh t quan tâm t o điều ki n t t nh t cho chúng em su t trình TE U CM H Trang iv M CL C NHI M V Đ ÁN T T NGHI P i LỊCH TRÌNH TH C HI N Đ ÁN T T NGHI P ii L I CAM ĐOAN iii L I C M N iv M C L C v LI T KÊ HÌNH ix LI T KÊ B NG xi DANH M C CÁC TỪ VI T T T xii CH NG 1: T NG QUAN Tà 1.1 Đ T V N Đ il 1.2 M C TIÊU iệ 1.3 N I DUNG NGHIÊN CỨU u 1.4 GI I H N NG 2: C S LÝ THUY T CM CH H 1.5 B C C 2.1 T NG QUAN V TÍN HI U ĐI N TIM ECG U 2.1.1 Khái ni m về tín hi u n tim ECG TE 2.1.2 C u trúc gi i ph u chức của tim 2.1.3 Nhịp tim 2.1.4 Các trình n h c của tim 2.1.5 Quá trình hình thành tín hi u n tim 2.1.5.1 Nhĩ đồ 2.1.5.2 Th t đồ 2.1.6 S hình thành d ng sóng của tim 2.1.6.1 Tính d n truyền 2.1.6.2 Tính tr th i kì tr 2.1.6.3 Đi n tr ng của tim 10 2.1.7 Các thành ph n của tín hi u n tim ECG 10 2.1.8 Các d i t n tín hi u n tim ECG 13 Trang v 2.1.9 Các ph ng pháp đo tín hi u ECG 14 2.1.9.1 Ph ng pháp Oscillometric 14 2.1.9.2 Ph ng pháp n tim đồ 14 2.1.9.3 Ph ng pháp h p thụ quang h c 15 2.1.10 Các lo i nhi u tác đợng đ n tín hi u n tim 15 2.2 LÝ THUY T V TÍN HI U S VÀ B L C S 17 2.2.1 T ng quan về tín hi u s 17 2.2.2 H x lý s 19 2.2.2.1 Mô t h x lý s 19 2.2.2.2 H x lý s đ quy không đ quy 22 2.2.3 T ng quan về bộ l c s 22 Tà 2.3.3.1 Bộ l c thông th p LPF 23 il 2.3.3.2 Bộ l c thông cao HPF 25 iệ 2.3.3.3 Bộ l c thông d i BPF 25 u 2.3 T NG QUAN V CÔNG C MATLAB 25 H 2.3.1 Gi i thi u chung 25 CM 2.3.2 L p trình matlab 26 2.3.2.1 M-File 26 U 2.3.2.2 Một s câu l nh c b n 30 TE 2.3.3 Trình mô ph ng Simulink 34 2.3.4 Công cụ thi t k bộ l c s FDATool của Matlab 38 2.3.4.1 Gi i thi u ph ng pháp thi t k theo mô hình 38 2.3.4.2 T ng quan về hộp công cụ thi t k bộ l c s (FDATool) 39 2.3.4.3 Thi t k bộ l c s dụng giao di n FDATool 41 2.4 T NG QUAN V FPGA (ALTERA) VÀ PH N M M QUARTUS II 45 2.4.1 Lịch s đ i phát triển của FPGA 45 2.4.2 Khái ni m FPGA 46 2.4.3 Ứng dụng FPGA 48 2.4.4 Ý nghĩa FPGA 48 2.4.5 Ph n mềm h tr thi t k Quatus II 49 2.5 T NG QUAN V KIT DE2 -115 ALTERA 51 Trang vi 2.5.1 Gi i thi u 51 2.5.2 Kit DE2 Cyclone IV EP4CE115F29C7N 52 2.5.3 C p nguồn cho kit DE2 55 2.6 GI I THI U NGÔN NG VHDL 55 2.6.1 Gi i thi u 55 2.6.2 C u trúc một mô hình h th ng mô t VHDL 57 2.6.3 Cú pháp ng nghĩa 59 2.6.3.1 Đ i t ng VHDL 59 2.6.3.2 Kiểu d li u VHDL 61 CH NG 3: THI T K VÀ THI CÔNG 62 3.1 GI I THI U 62 Tà 3.2 TÍNH TỐN VÀ THI T K H TH NG 62 il 3.2.1 Thi t k s đồ kh i h th ng 62 iệ 3.2.2 Tính tốn thi t k bợ l c d ng FIR 62 u 3.2.2.1 K t c u cho kiểu l c t n s d ng FIR: 62 H 3.2.2.2 C u hình t ng quát của bộ l c FIR 68 ng pháp MBD 69 CM 3.2.3 Thi t k bộ l c s d ng FIR theo ph 3.2.3.1 Xây d ng s đồ kh i 69 U 3.2.3.2 Thi t k , mô ph ng chuyển mã VHDL 70 3.3.1 Biên dịch ch TE 3.3 THI CÔNG H TH NG 80 ng trình Quartus II 80 3.3.2 S đồ kh i Quartus 83 3.3.3 Mô ph ng bộ l c dùng ModelSim 84 3.3.3.1 T ng quát về ph n mềm mô ph ng ModelSim 84 3.3.3.2 Mô ph ng m ch l c ModelSim 85 3.3.4 Th nghi m kiểm tra 86 CH NG 4: K T QU - NH N XÉT - ĐÁNH GIÁ 87 4.1 K T QU 87 4.1.1 K t qu mô ph ng bộ l c Matlab 87 4.1.2 K t qu d ng sóng mơ ph ng ModelSim 99 4.2 NH N XÉT – ĐÁNH GIÁ 99 Trang vii CH NG 5: K T LU N VÀ H NG PHÁT TRIỂN 101 5.1 K T LU N 101 5.2 H NG PHÁT TRIỂN 101 TÀI LI U THAM KH O 102 PH L C 103 u iệ il Tà TE U CM H Trang viii LI T KÊ HÌNH u iệ il Tà Hình 2.1 C u t o tim ng i Hình 2.2 H th ng d n truyền tim Hình 2.3 Kh c c tâm nhĩ s hình thành sóng P Hình 2.4 Kh c c vách liên th t s hình thành sóng Q Hình 2.5 D ng sóng tín hi u n tim 10 Hình 2.6 Máy huy t áp kỹ thu t s s dụng Oscillometric 14 Hình 2.7 Thu th p tín hi u ECG từ n c c 14 Hình 2.8 D ng sóng của b nh thi u máu cục bợ c tim 17 Hình 2.9 S đồ kh i của h x lý s .20 Hình 2.10 S đồ kh i của h x lý s phức t p 20 Hình 2.11 Ký hi u ph n t cộng 21 Hình 2.12 Ký hi u ph n t nhân 21 Hình 2.13 Ký hi u ph n t nhân v i s 21 Hình 2.14 Ký hi u ph n t tr đ n vị 22 Hình 2.15 S đồ kh i bộ l c thông th p d ng tắc .24 Hình 2.16 S đồ kh i bộ l c thông th p d ng chuyển vị 24 Hình 2.17 Giao di n trình mô ph ng Simulink 35 Hình 2.18 Kh i Sine Wave thông s cài đ t 36 Hình 2.19 Kh i Scope hình hiển thị 36 Hình 2.20 Kh i Random Source thông s cài đ t 37 Hình 2.21 Kh i Sum thông s cài đ t .37 Hình 2.22 Kh i Gain thông s cài đ t 38 Hình 2.23 Giao di n thi t k của FDATool 41 Hình 2.24 Thông s kỹ thu t bộ l c thông th p 43 Hình 2.25 Đáp n biên độ_ t n s pha của bộ l c thông th p 44 Hình 2.26 Chuyển thi t k FDATool sang mã VHDL 45 Hình 2.27 Ki n trúc t ng quan của FPGA 46 Hình 2.28 C u trúc SRAM FPGA (SRAM Logic Cell) 47 Hình 2.29 C u trúc của OTP FPGA (OTP Logic Cell) 47 Hình 2.30 Giao di n ph n mềm Quatus II .50 Hình 2.31 Kit DE2-115 Altera 52 Hình 2.32 Adapter 9V- 1.3A 55 Hình 3.1 S đờ kh i của h th ng 62 Hình 3.2 Đ c tính biên đợ t n s của bợ l c thông th p lý t ởng 63 Hình 3.3 Đ c tính biên đợ t n s của bộ l c thông cao lý t ởng 65 Hình 3.4 Đ c tính biên độ t n s của bộ l c thông d i lý t ởng 67 Hình 3.5 C u hình t ng qt của bợ l c FIR đáp ứng xung h u h n 68 TE U CM H Trang ix CH NG K T QU -NH N XÉT-ĐÁNH GIÁ Nhận xét: Nhìn vào d ng sóng của tín hi u đ u so v i d ng sóng của tín hi u đ u vào của bợ l c ta th y tín hi u đ u đáp ứng đ c u kỹ thu t đ c so v i yêu c đề cho bộ l c t t V y nên, thi t k hồn tồn có thể đ a vào để hi n th c hoá FPGA 4.1.2 K t qu d ng sóng mơ ph ng ModelSim Để ti n hành mô ph ng ModelSim, sau hồn thành b chủn sang ch đợ library d Trình mô ph ng đ c ở mục 3.3.3, i góc hình: click vào work → lowpass_tb c hi n Ch n h t t t c Objects → click chu t ph i → Add → To wave → Selected signals Hộp tho i mơ ph ng s hi n ra, sau ta ch n simulate → Run → Run all Ta s đ c hình d ng d ng sóng nh hình: u iệ il Tà TE U CM H Hình 4.20 Dạng sóng mơ ModelSim 4.2 NH N XÉT – ĐÁNH GIÁ Nhóm hồn thành vi c thi t k mô ph ng bộ l c cho tín hi u n tim Matlab chuyển sang mã VHDL để th c thi FPGA Tuy nhiên, thi t k nhóm g p khơng khó khăn vi c thi t k bợ l c nhi u cho tín hi u ECG bị nhi u bởi nhi u ng u nhiên Nh s h tr của th y, nhóm có thể thi t k bộ l c thông th p, thông cao thơng d i cho tín hi u ECG bị nh h ởng bởi nh ng tín hi u nhi u b t kỳ Nh v y, sau h n 15 tu n tìm hiểu tài li u chuyên môn, tài li u Internet v i s giúp đỡ t n tình của th y h THI CƠNG B ng d n, nhóm th c hi n đề tài: “THI T K VÀ L C NHI U TÍN HI U ĐI N TIM DÙNG CƠNG NGH FPGA” hồn thành xong mợt s chỉ tiêu đ t th i gian quy định v i nh ng nợi dung sau: B MƠN ĐI N T CƠNG NGHI P – Y SINH Trang 99 CH NG K T QU -NH N XÉT-ĐÁNH GIÁ + Nắm đ c ki n thức về tín hi u n tim ECG, bộ l c thông th p, thông cao thông d i + Nắm đ c ki n thức về thi t k nh mô ph ng bộ l c Matlab cách thức chuyển đ i thi t k bộ l c từ Matlab sang mã VHDL để th c thi kit FPGA + Nắm đ c ki n thức c b n về kit FPGA DE2–115 Altera có thể l p trình nh th c thi bộ l c kit + H th ng l c nhi u cho tín hi u ECG ho t đợng n định, cho tín hi u g n v i tín hi u ban đ u u iệ il Tà TE U CM H B MÔN ĐI N T CÔNG NGHI P – Y SINH Trang 100 CH NG K T LU N VÀ H CH NG PHÁT TRIỂN NG 5: K T LU N VÀ H NG PHÁT TRI N 5.1 K T LU N Sau th i gian nghiên cứu, tìm hiểu v i s giúp đỡ của th y Nguy n Thanh Nghĩa, nhóm hồn thành vi c thi t k mơ ph ng bợ l c nhi u tín hi u n tim thông qua bộ l c thông th p, thông cao thông d i xu t đ c mã VHDL Các bộ l c n p vào FPGA th i gian dài không phát sinh l i ho t động Tuy nhiên, th i gian có h n, nhóm v n ch a kịp hoàn thành vi c k t n i th c t ph n cứng D đánh giá chung về u – nh - i c điểm của h th ng: u điểm của h th ng: + Tín hi u đ u t - Nh ng đ i chu n xác c điểm của h th ng: Tà + Quá trình x lý phức t p NG PHÁT TRI N iệ 5.2 H il + Chi phí đắt u Trong đề tài này, nhóm th c hi n thi t k mô ph ng bộ l c nhi u tín hi u n CM xin đề xu t ý t ởng sau: H tim ECG dùng công ngh Matlab xu t mã VHDL Để phát triển đề tài thêm n a, nhóm + Ti p tục nghiên cứu gi i pháp ph n cứng ph c h đo t i u U để đ a đ ng pháp x lí tín hi u n m i dụng lĩnh v c y sinh – y t + Giám sát qu n lý tín hi u ECG sau đ TE + L c nhi u tín hi u khác cơng ngh FPGA ví dụ nh tín hi u n não để s c thu th p l c nhi u công ngh FPGA qua Internet + Thu th p l c nhi u tín hi u ECG l c nhi u FPGA ph ng thức trùn khơng dây B MƠN ĐI N T CÔNG NGHI P – Y SINH Trang 101 TÀI LI U THAM KH O TÀI LI U THAM KH O Vũ Thị Hồng Xiêm, Công nghệ xử lý tín hiệu sớ DSP cơng nghệ FPGA, Khóa lu n t t nghi p, tr ng ĐH S ph m Hà Nội 2, Hà Nội, 2010 [2] Nguy n Văn Thông, Thử nghiệm thiết kế dao động ký số FPGA, Khóa lu n t t nghi p, tr ng ĐH Công ngh , Hà Nội, 2008 [3] Tr n Thanh S n, Thiết kế lọc tín hiệu số công nghệ FPGA với công cụ Matlab EDA XILINX, Lu n văn Th c sỹ Kỹ thu t, H c vi n Kỹ thu t Quân s , Hà Nội, 2008 [4] Nguy n Qu c Tu n, Tr n Quang Đ t, Thiết kế FPGA để loại ồn cho tín hiệu ECG nhờ biến đổi sóng con, T p chí Khoa h c ĐHQGHN, Tr ng Đ i h c Công ngh ĐHQGHN, Hà Nội, 2008 [5] Bùi Công Quân, Thiết kế lọc số dsPIC ứng dụng việc xử lý điện tâm đồ, Khóa lu n T t nghi p, tr ng ĐH Công Ngh , Hà Nội, 2013 [6] Bộ môn n t công nghi p – y sinh, Tài liệu thực hành xử lý tín hiệu y sinh, Tr ng Đ i h c S ph m Kỹ thu t, Tp HCM, 2018 [7] Bộ môn n t công nghi p – y sinh, Biomedical Signal Processing, Tr h c S ph m Kỹ thu t, Tp HCM, 2018 ng Đ i u iệ il Tà [1] TE U CM H B MÔN ĐI N T CÔNG NGHI P – Y SINH Trang 102 PH L C PH L C ng trình chính: Code ch ng trình b l c thông th p: u iệ il Tà Code ch TE U CM H B MÔN ĐI N T CÔNG NGHI P – Y SINH Trang 103 PH L C u iệ il Tà TE U CM H B MÔN ĐI N T CÔNG NGHI P – Y SINH Trang 104 PH L C u iệ il Tà TE U CM H B MÔN ĐI N T CÔNG NGHI P – Y SINH Trang 105 PH L C u iệ il Tà TE U CM H B MÔN ĐI N T CÔNG NGHI P – Y SINH Trang 106 PH L C u iệ il Tà TE U CM H B MÔN ĐI N T CÔNG NGHI P – Y SINH Trang 107 PH L C u iệ il Tà TE U CM H B MÔN ĐI N T CÔNG NGHI P – Y SINH Trang 108 PH L C u iệ il Tà TE U CM H B MÔN ĐI N T CÔNG NGHI P – Y SINH Trang 109 PH L C u iệ il Tà TE U CM H B MÔN ĐI N T CÔNG NGHI P – Y SINH Trang 110 PH L C u iệ il Tà TE U CM H B MÔN ĐI N T CÔNG NGHI P – Y SINH Trang 111 PH L C u iệ il Tà TE U CM H B MÔN ĐI N T CÔNG NGHI P – Y SINH Trang 112 PH L C Code ch ng trình b t o nhi u (counter): u iệ il Tà TE U CM H B MÔN ĐI N T Powered by TCPDF (www.tcpdf.org) CÔNG NGHI P – Y SINH Trang 113

Ngày đăng: 11/10/2023, 14:22

Xem thêm:

w