Giáo trình kỹ thuật số phần 1 nguyễn đình phú, nguyễn trường duy

191 26 0
Giáo trình kỹ thuật số phần 1   nguyễn đình phú, nguyễn trường duy

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

$ S0 9001:7000 BO GIAO DUC VA DAO TAO | TRƯỜNG ĐẠI HỤ£ $W PHẠM KỸ THUẬT THÀNH PHỐ HỮ CHÍ MINH NGUN ĐÌNH PHÚ - NGUN TRƯỜNG DUY GIÁO TRÌNH KỸ THUẬT SỐ NHÀ XUẤT BẢN ĐẠI HỌC QUỐC GIA TP HỒ CHÍ MINH BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT THANH PHO HO CHi MINH #Y*Y#OEiokticttedeiotore NGUYÊN ĐÌNH PHÚ NGUYÊN TRƯỜNG DUY GIÁO TRÌNH KỸ THUẬT SĨ NHA XUAT BAN DAI HOC QUOC GIA THANH PHO HO CHi MINH LOI NOI DAU Kỹ thuật số môn học cung cấp kiến thức bản, tang dé sinh viên ngành kỹ thuật điện, điện tử tiếp cận mơn học vi xử lý, vi điều khiển, điều khiển máy tính, thiết bị điều khiễn lập trình PLC nhiều mơn học khác „Nội dung giáo trình trình bày khái niệm kỹ thuật số, hệ thông số, cổng logic, mạch tổ hợp, mạch tuần tự, cầu trúc vi mạch số họ TTL CMOS, định lý đại số Bool, bìa Karnaugh phương pháp thiết kế mạch bản, cấu trúc vi mạch nhớ, chuyển đổi ADC DAC, mạch dao động Cùng với tài liệu thực hành số giúp bạn thiết kế mạch điều khiển số đơn giản Giáo trình biên soạn chia thành 13 chương để giúp bạn dễ tiếp cận học theo chương trình đại học 15 tuần Chương I: Trình bày khái niệm, hệ thống, loại mã Chương 2: Trình bày cổng logic, định lý, thiết kế mạch Chương 3: Trình bày mạch mã hóa mạch giải mã Chương 4: Trình bày mạch đa hợp, mạch giải đa hợp, mạch so sánh, ghép mạch Chương Chương Chương Chương 5: Trình bày mạch cộng trừ nhận chia số nhị phân số hex số BCD 6: Trình bày loại flip flop để dùng cho mạch tổ hợp 7: Trình bày loại mạch đếm khơng đồng bộ, đồng bộ, mod N 8: Trình bày cách thiết kế mạch đếm đồng theo yêu cầu Chương 9: Trình bày ghỉ dịch Chương 10: Trình bày cấu trúc vi mạch họ TTL CMOS Chương 11: Trình bày Chương 12: Trình bày Chương 13: Trình bày Trong trình biên mạch dao động dùng công logic nguyên lý chuyển đổi số sang tương tự nguyên lý chuyển đổi tương tự sang số soạn khơng thể tránh sai sót nên mong bạn đọc đóng góp xây dựng xin gởi tác giả theo địa phu_nd@ yahoo.com, Tác giả xin cảm ơn bạn bè đồng nghiệp đóng góp nhiều ý kiến, xin cảm ơn người thân gia đình cho phép tác giả có nhiêu thời gian thực biên soạn giáo trình này, Các tác giả MUC LUC Chương 1: CÁC KHÁI NIỆM - HE THONG SO - CÁC LOẠI GIỚI THIỆ CÁC ĐẠI LƯỢNG SĨ VÀ TƯƠNG TỰ I Hệ thơng điện tử tương tự wns Hệ thống điện tử số Hệ thống điện tử tổng hợp gồm số tương tự Ưu điểm hệ thống số so với hệ thống tươngtì 1H SĨ NHỊ PHÂN, MỨC LOGIC VÀ DẠNG SĨNG TÍN HIỆU SĨ Số nhị phân Các mức logic Dạng sóng tín hiệu số Hệ thống số nhị phân — binary system Hệ thống số thập lục phân — hexadecimal system Chuyển đổi hệ thống số - nhị phân, thập phân, t lục phân CÁC LOẠI Mà a MA BCD (binary coded decimal) Mã Giay Chuyển mã nhị phân sang mã Gray „31 Chuyển mã Gray sang mã nhị phân Mi ASCH - AMERICAN STANDARD INFORMATION INTERCHANGE BÀI TẬP CODE FOR Chương 2: CÔNG LOGIC —- CÁC ĐỊNH LÝ - THIẾT KE CAC CONG LOGIC wWEYWRN = Phép toán OR cổng OR Phép toán AND - cổng AND Phép toán NOT ~ cdng NOT hay céng INVERTER Phép toán OR - cổng NOR = cổng OR + cổng NOT Phép toán NAND~ cổng NAND = cổng AND + cổng NOT 44 NA Phép tốn EX-OR - cổng EX-OR hay cịn gọi XOR Phép tốn EX-NOR ~ cơng EX-NOR hay cịn gọi XNOR 45 i BIEU DIEN CAC MACH BIEN LOGIC - TINH TOAN GIA TRI NGO RA Biểu diễn mạch điện Tính tốn giá trị ngõ mạch điện số CAC ĐỊNH LÝ LOGIC Các định l Ứng dụng Định lý Demorgan Ứng dụng định lý Demorgan SỰ ĐA NĂNG CUA CÓNG NAND, C‹ THIET KE MACH TO HOP | BÌA KARNAUGH - Xây dựng bìa Kamaugh Cách đơn giản bìa Karnaugh theo ham sop Đơn giản bìa Karnaugh Cách đơn giản bìa Karnaugh theo hàm pos Đơn giản bìa Kamaugh theo hàm pos VIN BAI TAP NOR Chương 3: MẠCH MA HOA - MẠCH GIẢI Mà I GIỚITHIỆU I MẠCH Mà HĨA Khảo sát mạch mã hố sang với ngõ vào tích cực mức Khảo sát mạch mã hoá sang với ngõ vào tích cực mức thấp Khảo sát vi mạch II mã hoá 10 đường sang đường 74LS148 MẠCH GIẢI Mà 1, Khảo sát mạch giải mã sang với ngõ tích cực mức l Khảo sát mạch giải mã sang với ngõ tích cực mức 1, có Khảo sát mạch giải mã sang với ngõ tích cực mức I, có tín hiệu cho phép E tín hiệu cho phép E1 E2 Khảo sát mạch giải mã sang với ngõ tích cực mức 0, có tín hiệu cho phép E1 E2 IV MẠCH GIAI MA LED DOAN Ee Giới thiệt WN „ Cấu tạo led đoạn Hình ảnh led7 đoạn wb Tên đoạn Mạch giải mã led đoạn loại anode chung V BÀI TẬP gugg = Chương 4: MẠCH ĐA HỢP— MẠCH GIẢI ĐA HỢP - MẠCH SOSÁNH stitial neta IL GIỚI THIỆU MACH DA HOP Khảo sát mạch đa hợp kênh ngõ vào Khảo sát mạch đa hợp4 kênh ngõ vào 103 Il MẠCH GIẢI ĐA HỢP Khảo sát mạch giải đa hợp kênh Khảo sát mạch giải đa hợp kênh ngõ GHÉP CÁC MẠCH GIẢI, ĐA HỢP Yéu cdu s6 IV 'Yêu cầu số Yêu cầu số MẠCH SO SÁNH Khảo sát mạch so sánh số nhị phân bit - Khảo sát mạch so sánh số nhị phân bit có chức mở rong = .ốốốốố ốc 121 KIEM TRA CHAN LE VI - PARITY Máy phát (máy tính) tạo bit kiểm tra chấn - Máy thu (modem máy in) tạo bit kiểm tra chẫn BÀI TẬP Vil Chương 5: MẠCH CỘNG TRỪ NHÂN CHIA SÓ NHỊ PHÂN, BCD GIỚI THIẾU Hi MẠCH CỘNG TRỪ NHÂN CHIA SÓ NHỊ PHÂN I tt Mm - Mạch cộng số nhị phân khơng dấu Cộng số nhị phân có dấu Mạch trừ số nhị phân Mạch nhân hai số nhị phân Mạch chia hai số nhị phân CHUYỂN ĐƠI GIỮA SĨ NHỊ PHẦN VÀ SĨ BCD, yo - Phương pháp chia cho 10 lấy số dư Phương pháp dịch trái Chuyển số BCD sang số nhị phân 154 „ 156 IV CONG TRU SO THAP LUC PHAN Cộng sé thap luc phan Trừ số thập lục phân V MACH CONG TRU SO BCD 1, Công hai số BCD Xây dựng mạch cộng hai sé BCD Chương 6: FLIP FLOP I Il GIỚITHIỆU FLIPFLOPRS Flip flop R'S' sử dụng cổng NAND Flip flop RS có tín hiệu điều khiển cho phép/cấm đồi trạng thái Flip flop RS hoạt động với xung CK II FUIPFLOPJK Flip flop JK Flip flop JK có tín hiệu khơng đồng Các dạng khác tín hiệu khơng đồng Thiết lập phương trình đặc tích cho flip flop JK IV FLIPELOPT Flip flop T Phương trình đặc tính flip flop T V FLIPFLOPD Flip flop D Thiết lập phương trình đặc tính cho ffip flop D VI MẠCH CHÓT VI BÀI TẬP " Chương 7: MẠCH ĐÊM GIỚI THIỆU i MACH DEM NHI PHAN KHONG DONG BO Khảo sát mạch dém nhj phan bit, KDB, đếm lên sử dụng FFT với CK tích cực cạnh xuống Ì H999 Khảo sát mạch đếm nhị phân bi , KĐB, đếm xuống sử dụng 0A3413048352406 1380148/206130 08 197 FFT với CK tích cực cạnh xuống MACH DEM KHONG DONG BQ MOD Khảo sát mạch đếm lên, KĐB, mod sử tích cực cạnh xuống dụng FET với CK Khảo sát mạch đếm lên, KĐB, mod tích cực cạnh xuống IV 205 MẠCH TỰ ĐỌNG RESET -.‹ 205 Mạch đếm nhị phân4 bit, KĐB, đ CK tích cực cạnh xuống 206 Mạch đếm nhị phân bit, KĐB, đếm xuốn, với CK tích cực cạnh xuống 206 Mạch đếm lên, KDB, mod cạnh xuống Mạch đếm nhị phân bit, KĐB, đếm lê: CK tích cực cạnh xuống Mạch đếm nhị phân bít, KĐB, đếm lên: có trạng thái bắt .207 207 đầu cấp điện 1000b MẠCH ĐÉM ĐÒNG BỌ Khảo sát mạch đếm nhị phân bit, ĐB, đếm lên: FFT với CK tích cực cạnh xuống Khao sát mạch đếm nhị phân bit, ĐB, đếm xuế: FFT véi CK tich cye canh xuéng sử dụng sir dung Khảo sát mạch đếm nhị phân bit, ĐB, đếm lên/xuống: có tín hiệu chọn UD - sử dụng FFT với CK tích cực cạnh xuống se; 217 VỊ, VH MACH DEM ĐẶT TRƯỚC SÓ ĐÉM 'Khảo sát mạch đếm đặt trước số đếm - đếm lên Khảo sát mạch đếm đặt trước số đếm - đếm xuống BÀI TẬP GIAO TRINH KY THUAT SO CHƯƠNG Bảng 6-6: Bàng trạng thái flip flop JK với CK tích cực cạnh lên sau: Inputs Outputs PRE | CR|CK|1 |Ø x |x |X |! |ì |o X |X |X |0 |x|x |x Trạng thái TT |ö 1 |K |1 |Trạngthácắm|0 | Các [se Reset Q ioe không đồng 1 {x |x 1 † |0 |0 I 1 † † |0 |1 J1 |0 %|® jo |1 |! |0 1 + 1 Q Q, | Dao trang thai | Ọ, | Không = cho | | phép đổi trạng Ø thái |Giữ nguyên|4 trạng thái |xXéaqveo |LàmQbảngl | Các |s |6 hoạt | đồng one Phân biệt tín hiệu đồng khơng đồng bộ: Tín hiệu J K hoạt động đồng theo xung CK, cịn tín hiệu PRE CLR hoạt động không theo CK nên gọi không đồng Các dạng khác tín hiệu khơng đồng > Flip Flop JK với PRE tích cực mức cao CLR tích cực mức thấp Với Flip flop JK vừa khảo sát tín hiệu khơng đồng PRE CLR tích cực mức thấp nên kí hiệu FF có vòng tròn Nếu thêm vào cổng Not cho ngõ vào PRE hình 6-26(a) ngõ vào PRE trở thành tích cực mức cao PRE kí hiệu hình 6-26(b) GIAO TRINH KY THUAT SO CHƯƠNG @ đR ® Hình 6-26: Sơ đồ mạch FF JK với PRE CLR Bang 6-7: Bang trang thai: Inputs Outputs Trang thai PRE | C1g|CK|I |K |Ø lö xX ¡1 |x|x|x|1| |X|X|@ | o, | Không + |t1|i|lI|0|i|Xøoveo † ø | o |X |X |x|x|x| | | Trạng |1 : thái cắm |SeQ | TT |0 | Hoạt động | không đông |ReetQ | 55 cho |3 phép đổi trạng thái 01] 0|0lÓG % [1|o|o |1 1| |1, Giữ nguyên trạng thái |4 | Hoạt động |s |đồng llàmQbàngiló |°Ê | G@ | Bao trang thai | > Flip Flop JK với PRE tích cực mức thấp CLR tich eye mite cao Nếu thêm vào cổng NOT cho ngõ vào CL hình 6-27(a) ngõ vào CER trở thành tích cực mức cao CLR kí hiệu hình 6-27(b) CHƯƠNG GIÁO TRÌNH KỸ THUẬT SĨ @ @®) Hình 6-27: Sơ đồ mạch va FF JK với PRE CLR Bang 6-8: Lap bang trạng thái: Inputs Outputs Trạng thái 1|K|0lø5 x|x|Ị! | Trạng thá cấm | X|X|1 i X | x[x|0| |X| Q,| 9|0|0| |SetQ |ReeQ ø, | Không cho phép đổi | TT trạng thái o, | Giữnguyên trạng thái | 0|1|0| 101 | Lam Q bing 9, | Q | Dao trang thai 1] 1] |XóaQvèo > Flip Flop JK với PRE CLR tích cực mức cao Nếu thêm vào cổng NOT cho ngõ vào CLR va céng NOT cho PRE hình 6-28(a) hai ngõ vào PRE CLR tro tích cực mức cao kí hiệu hình 6-28(b) GIÁO TRÌNH KỸ THUẬT SĨ CHƯƠNG PRE ‘PRE @® CLR @®) CLR Hình 6-28: Sơ đồ mạch FF JK với PRE CLR Bang 6-9: Lập bảng trạng thái: Tnputs Outputs 7R)|CK|I i |K |0 “Trạng thái Tp |õ x|X | | |Trngthúcấm x|Xx|I |0 |seQ x |x| | | ResetQ X | X | O, | Q, | Khong cho phép đổi trạng | thái | | Q | Q, | Giữnguyên trạng thái 0|1|0|1 |XóaQ |0 | | | LamQ bang! | | Ø, | Q, | Dao trang thái Thiết lập phương trình đặc tích cho Flip Flop JK Bảng trạng thái flip flop JK với CK tích cực cạnh lên viết lại sau: CHƯƠNG GIÁO TRÌNH KỸ THUẬT SÓ Bảng 6-10: Inputs Outputs | TP PRE | CLR|CK] | K | Qua | Qui 0 |X|Xx|Xx|Ị! | Trạng thái cẩm |X|X|Xx|! |9 |stQ X|X|X|Ị0 1 |J0|X|X|O, | Ø, | Không cho phép đổi| 1 |1|0|0|0,|Ø 1 |†1|9|1|0 | 1 †|1!1|01 | LamQ bing 1 T1 |1 |! 1 |ResetQ trạng thái |Giữ thái |XéoQ nguyên tang] |øØ, | @, | Dao trang thai Trong bảng trạng thái này, ngõ Q„„, tượng trưng cho trạng thái kế, Ø, tượng trưng cho trạng thái Thiết lập phương trình đặc tính: lập bảng trạng thái kế phụ thuộc vào 1, K trạng thái tại: Bảng 6-11: Inputs CK|I † † † † t † † † |K |0 |0 |0 |0 |1 |0 |0 |1 |1 |0 Trạng thái | Trạng thái kế 9, đặn 1 |1 |! |0 fa 1 1 0 Mô tả Giữ nguyên trạng thái Giữ nguyên trạng thái Luôn Luôn Luôn 1 Luôn Đảo trạng thái Dao trang thái GIAO TRINH KY THUAT SO CHUONG Dùng bìa K để tìm phương trình trạng thái kế Ĩ, me, lJ.K Q,: với tín hiệu vào Hình 6-29: Bìa K dé tim phương trình Kế phương trình đặc tính: — Ø,„=XØ,+J0, IV FLIPFLOPT Flip flop T Flip flopT flip flop JK véi ƒ K nối chung với hình 6-30(a) Sơ đồ kí hiệu flip flop T hình 6-30(b) va 6-30(c): (b) Hình 6-30: Kí hiệu FFT Bảng 6-12: Bảng trạng thái: Outputs Trang thái Inputs PRE | CrR |CK|T |0 0 X |X |1 x |X [oO 1 {1 |x [x la |X | Q | TP |ø |1 | Trạngthấ cấm |o |s+Q |! | ResetQ fi| Ø, | Không cho phép đổi trạng thái | HUONG GIAO TRINH KY THUAT SO 1 † |0 |œ a Giữ nguyên trang thái 1 † |1 % Q, | Dao trang thai Bảng trạng thái FFT bảng trang thái FF JK bỏ trạng thái thứ Phương trình đặc tính Flip flop T Bang 6-13: Bang trạng thái: Inputs | Trạng thái | Trạng thái kế CKÍT † J0 † |0 † |1 † 9, Qu 1 Kế phương trình đặc tính: Vv Mơ tà Giữ nguyên trạng thái Giữ nguyên trạng thái + | Dao trang thai Đảo trạng thái Ø,„ =T0,+70,=7®0, FLIPFLOPD Flip flop D Flip flop D la flip flop JK với J K nối với cổng Not hình 6-31(a) So dé kf higu flip flop D hình 6-31(b) va 6-31(c): Hình 6-31: Kí hiệu FFT 186 GIAO TRINH KY THUAT SO CHƯƠNG Bảng 6-14: Bảng trạng thái: Inputs Outputs PRE | Cir|CK|D Trang thai TP |@|6 0 X |x |I |! | Trạngthá cấm x jx |! |0 |SeQ 1 xX |X |0 |1 Reset Q + |X | Ø, | Ø, | Không cho phép đổi trạng thái | 1 1 † |Jo |o |1 |1 |0 |Xóaovèo |LàmQbằng1 Bảng trạng thái FFD bảng trạng thái FF JK bỏ trạng thái thứ4 Thiết lập phương trình dic tinh cho flip flop D Bảng 6-15: Bảng trạng thái: Inputs | Trạng thái | Trạng thái kế |_ Môtả KD 9, Ga † + |0 |0 0 Luôn Luôn t |1 Luôn bang t |1 1 Luôn Két phương trình đặc tính: 9, = DO, + DQ, =D Phương trình đặc tính flip flop D cho thấy có xung CK liệu ngõ Q liệu ngõ vào D, VI MẠCH CHĨT Sơ đồ mạch chốt liệu hình 6-32: CHƯƠNG GIAO TRINH KY THUAT SO Hinh 6-32: Mach chét D > Lập bảng trạng thái: Ta có phương trình ngõ cơng NAND3 NAND4: va R'= ED $'= ED Tong hgp E=0: thi bat chdp céc ngé vio cdn lai cua céng NAND3 NAND4 xác định trạng thái ngõ S'=1 va R'=1 - theo bảng trạng thái flip flop R'S' ngõ giữ nguyên trạng thái bat chấp thay đổi tín hiệu ngõ vào D Trường hợp xem chôt liệu Trường hợp Z = 1: trạng thái ngõ Š'=Ð &'= D: ® KhiD=0thì S=Ð=1 R'=D =0: theo bảng trạng thái flip flop R'S' ngõ Q=0 ® KhiD= Ithì =0 R'=D theo bảng =l: trạng thái flip flop R'S' ngõ Q=1 Vậy trường hợp @ = D - có nghĩa liệu đưa đến ngõ vào 'D đưa đến ngõ - trường hợp không chốt hay cho thông liệu Bảng 6-15: Bàng trạng thái mạch chốt D sau: inputs | Output | Trạng EỊP |o thái o |x la Chốt liệu 1 |0 |1 |0 |1 'Thơng liệu Kí hiệu mạch chốt hình 6-33 GIÁO TRÌNH KỸ THUẬT SỐ CHƯƠNG Hình 6-33: Kí hiệu mạch chất D Các IC chốt thường tích hợp mạch chốt D, chức mạch chốt dùng để lưu liệu thời gian ngắn ví dụ thiết bị đo mà kết đo thay đổi liên tục trình đo thị khó quan sát hay aoe, kết ~ cần phải sử dụng mạch chốt để lưu kết sau đo xong để dễ đọc kết đo chốt tiếp đữ liệu lần đo hoàn tất VI BÀI TẬP 1, TRA LOI CAC CAU HOI TRAC NGHIỆM Câu 6-1: Trạng thái cắm flip flop R'S' là: @)Ø@0=00_ ()Ø0=01 (@00=10 (Q@0=11 Câu 6-2: Khi ngõ vào S' flip flop trạng thái tích cực làm: (2) QO=00 (bì00=01 (@Q00=10 (@)@0=1H (@) @0=00 ()O9=01 ()00=10 ()Ø0=11 Câu 6-3: Khi ngõ vào R' ciia flip flop trạng thái tích cực làm: Céu 6-4: Flip flop có thêm ngõ vào E có chức năng: (a) Reset flip flop (b) Kéo dài thời gian cho phép (c) Lam hẹp xung CK (d) Cho phép/ cắm chuyển trạng thái Câu 6-5: Xung sau qua mạch làm hẹp có thời gian mức I là: (a) Vài mili giây (c) Vai nano giay — (b) Vài chục mili giây (đ) Vài giây Câu 6-6: Mạch làm hẹp xung cạnh lên sử dụng hai công: @&)ANDvàNOT (c)NAND vaNOT Câu 6-7: Mạch làm hẹp xung (@)ANDvàNOT ()NAND vaNOT — (b)NOR vàNOT (d)OR NOT cạnh xuống sử dụng hai cơng: (b)ìNORvàNOT (d) OR va NOT #3 CHƯƠNG GIÁO TRÌNH KỸ THUẬT SĨ Câu 6-8: Flip flop RS có thêm tín hiệu E bang trang thái có: (a) trạng thái (b) trang thai (c) trang thái (d) trang thai Câu 6-9: Xung CK tích cực cạnh lên có kí hiệu gồm: (a) Hình trịn hình tam giác {b) Có hai hình trịn (c) Chỉ có hình tam giác (d) Có hai hình tam giác Câu 6-10: Xung CK tích cực cạnh xuống có kí hiệu gồm: (a) Hình trịn hình tam giác (b) Có hai hình trịn (c) Chỉ có hình tam giác (d) C6 hai hình tam giác Câu 6-11: Flip flop JK c6 (a) trạng thái cấm (c) trạng thái cấm () hai trạng thái cấm (d) Khơng cịn trạng thái cắm Cau 6-12: Flip flop JK nhận xung CK không đổi trạng thái khi: (a)JK=00 Câu 6-13: (b) IK = 10 (c) IK=01 (4) IK=11 Flip flop JK nhận xung CK làm đảo trạng thái khi: (a) IK=00 (b) JK = 10 (a)T=0 ()T=1 (c) IK=01 (d)JK=11 Câu 6-14: Flip flop T nhận xung CK không đổi trạng thái khi: Câu 6-15: ()T=0vaQ=0 (d) T=1 vaQ=1 Flip flop T nhan xung CK đảo trạng thái khi: (a)T=0 )T=1 (c)T=0vàQ=0 (đ)T= I Q =1 Câu 6-16: Khi có xung CK flip flop đảo trạng thái xuất ở: (a) Flip flop D (c) Flip flop S'R’ (b) Flip flop JK @ Flip flop SR Câu 6-17: Khi có xung CK flip flop đảo trạng thái xuất ở: (a) Flip flop T (b) Flip flop D (©) Flip flop SR’ (d) Flip flop SR Câu 6-18: Phương trình đặc tính flip flop JK 1a: @) Ø,, = K0, +70, ©) Qyn = KG, + JQ, ©) 2,1 = KQ, +50, () Ø,„ = KO, + 7Ó, GIAO TRINH KY THUAT SO CHƯƠNG Câu 6-19: Phương trình đặc tính flip flop T là: (4) Q,„, =TO, +TQ, () Ó,., =70, + 70, (®) 0,„ =T0,+T0, @) Ø,„, =70, +TO, Câu 6-20: Phương trình đặc tính flip flop D là: () Qu = DQ, + DQ, © Q,.=D (©) Qu =D @ Q, = DQ, + DQ, Câu 6-21: Mạch làm hẹp xung CK có chức tạo xung đủ thời gian cho phép flip flop: (a) Déi trang thi lin (c) Đổi trạng thái lần (b) Không cho phép đổi trạng thái (d) Đổi trạng thái liên tục Câu 6-22: Flip flop JK thi cfc tín hiệu xem tín hiệu đồng với CK: (a) PRE vaJ (b) PRE va K (c) CLR va PRE (d) J K Câu 6-23: Flip flop JK thi céc tín hiệu xem tín hiệu không đồng với CK: (a) PRE vaJ (b) PRE va K (c) CLR va PRE (đ)JvàK (a) PRE vaJ (b) PRE K (c) CLR vi PRE ()JvàK Câu 6-24: Flip flop JK thi tín hiệu làm xuất trạng thái cấm: Câu 6-25: Flip flop T fiip flop JK với: (a) K nối với qua cổng NOT (b) K nối chung với (e)1 K nối với qua cổng NOR (đ) K nối với qua cổng AND Câu 6-26: Flip flop D flip flop JK với: (a) K nối với qua cổng NOT CHUONG : GIAO TRINH KY THUAT SO (b)1 K nối chung với (©) J va K nối với qua cng NOR (@J va K néi véi qua céng AND BÀI TẬP Bai tập 6-1: Cho dạng sóng vio mach flip flop JK nhu hình 6-34 Hãy vẽ dạng sóng ngõ Q fb} fel 10 f l fel fal fel 1 Lo Lo} fo) ƒƑ¡ï fa] —~ — — Hình 6-34: Hình tập 6-1 'Bài tập 6-2: Hãy liệt kê trạng thái hoạt động flip flop tương ứng với xung từ a đến h 6-1 Bai tập 6-3: Cho dạng sóng vào mạch flip flop JK hình 6-35 Hãy vẽ dạng sóng ngõ Q T 1 0 j1 1 Hình 6-35: Hình tập 6-3 Bài tập 6-4: Hãy liệt kê trạng thái hoạt động flip flop tương ứng với xung từ a đến g Bài tập 6-5: Cho dạng sóng vào mạch Flip flop D hình 6-36 Hãy vẽ dạng sóng ngõ Q GIAO TRINH KY THUAT SO CHƯƠNG Hình 6-36: Hình tập 6-5 Bai tập 6-6: Hãy liệt kê trạng thái hoạt động flip flop tương ứng với xung từ a đến h 6-5 Bài tập 6-7: Cho dạng sóng vao mach Flip flop T hình 6-37 Hãy vẽ dạng sóng ngõ Q 1 1 9_—> Hình 6-37: Hình tập 6-7 Bai tập 6-8: Hãy liệt kê trạng thái hoạt động flip flop tương ứng với xung từ a đến h 6-7 Bài tập 6-9: Cho dạng sóng vào mạch Flip flop D hình 6-38 Cho Q ban đầu 0, vé dang sóng ngõ Q Nhận xét chức mạch Hình 6-38: Hình tập 6-9 Bài tập 6-10: Flip flop RS' dùng cổng Nor có sơ đồ mạch hình 6-39 Hãy lý luận để thiết lập bảng trạng thái 183 CHƯƠNG GIÁO TRÌNH KY THUAT SO Hình 6-39: Hình tập 6-10 Hình 6-40: Hình bai tap 6-11 Bài tập 6-11: Hay vé mach Flip flop RS dùng cổng Nor có sơ đồ mạch hình 6-40 Hãy lý luận để thiết lập bảng trạng thái Bài tập 6-12: Cho sơ mạch điện hình 6-41 Mạch hình thứ switch chuyển mạch hai vị trí dạng sóng tín hiệu chuyển trạng thái thay đổi liên tục trước ổn định - tượng gọi bị đội Hình thứ hai mạch thêm vào fiip flop để chống dội dạng sóng Khơng cịn tượng bị đội Hãy giải thích hoạt động mạch chồng dội +V R What Switch cortacts souncng t⁄ ‘Switch \ =4 Set t Ran Multiple signals (false inputs) C wv R ich xã Reset SR Id Single Pulse leo@ >>: / † set Reset Hình 6-41: Hình tập 6-12 - chống dội phím, switch '

Ngày đăng: 30/06/2023, 09:51