Thiết kế hệ thống nhúng đề tài thiết kế một hệ thống nhúng sử dụng vi xử lý fpga picoblaze để đo nhiệt độ và đưa ra tín hiệu điều khiển môtơ pwm

30 5 0
Thiết kế hệ thống nhúng đề tài thiết kế một hệ thống nhúng sử dụng vi xử lý fpga picoblaze để đo nhiệt độ và đưa ra tín hiệu điều khiển môtơ pwm

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI TRUNG TÂM ĐÀO TẠO SAU ĐẠI HỌC O0O TIỂU LUẬN MÔN HỌC THIẾT KẾ HỆ THỐNG NHÚNG Đề Tài Thiết kế một hệ thống nhúng sử dụng vi xử lý FPGA Picoblaze để đo nhiệt độ và đưa r[.]

TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI TRUNG TÂM ĐÀO TẠO SAU ĐẠI HỌC - O0O - TIỂU LUẬN MÔN HỌC THIẾT KẾ HỆ THỐNG NHÚNG Đề Tài Thiết kế hệ thống nhúng sử dụng vi xử lý FPGA Picoblaze để đo nhiệt độ đưa tín hiệu điều khiển mơtơ PWM Giáo viên hướng dẫn: TS Hà Mạnh Đào Họ tên học viên : Tạ Ngọc Thắng Lê Quang Nghĩa Lớp : CH Điện Tử K5 Hà Nội, Tháng 01/2017 LỜI MỞ ĐẦU Với xu hướng phát triển rất nhanh của vi xử lý cũng yêu cầu ngày cao của người dùng, việc phát triển vi xử lý với tốc độ tính tốn thật mạnh mẽ nhanh yêu cầu khẩn thiết những nhà phát triển cơng nghệ Vì đời FPGA giải pháp tối ưu hóa cung cấp mơi trường làm việc hiệu cho ứng dụng thực tế Tính linh động FPGA cho phép giải toán phức tạp mà trước thực nhờ phần mềm máy tính Nhờ mật độ cổng logic cao cho phép FPGA giải toán cồng kềnh hệ thống thời gian thực Ngày FPGA ứng dụng rộng rãi nhiều lĩnh vực: dân dụng, hàng khơng, quốc phịng… Với nhớ EPROM cho phép tái lập trình giúp người sử dụng thay đổi lại thiết kế thời gian ngắn Nhờ sự giúp đỡ tận tình của thầy TS Hà Mạnh Đào, chúng em đã tiến hành nghiên cứu đề tài “Thiết kế hệ thống nhúng sử dụng vi xử lý FPGA Picoblaze để đo nhiệt độ đưa tín hiệu điều khiển môtơ PWM” Nội dung đề tài bao gồm những phần sau: Tổng quan hệ thống nhúng Giới thiệu chip FPGA vi điều khiển Picoblaze Tổng quan đồng thiết kế codesign Thiết kế ứng dụng nhúng kit 2 3 MỤC LỤC 4 CHƯƠNG 1: TỔNG QUAN VỀ HỆ THỐNG NHÚNG Khái niệm hệ thống nhúng Hệ thống nhúng hệ thống tích hợp phần cứng phần mềm phục vụ cho toán chuyên dụng nhiều lĩnh vực cơng nghiệp, tự động hóa điều khiển, quan trắc truyền thông Hệ thống địi hỏi độ ởn định tự động hóa cao Do sử dụng cho nhiệm vụ chuyên biệt sản xuất với số lượng lớn nên chúng thiết kế cách tối ưu nhằm giảm thiểu kích thước cũng giá thành sản xuất Độ phức tạp khác theo yêu cầu của công việc mà chúng đảm nhận, hệ thống nhúng rất đơn giản với vi điều khiển rất phức tạp với nhiều đơn vị, thiết bị ngoại vi mạng lưới nằm gọn lớp vỏ máy lớn Các đặc điểm hệ thống nhúng Hệ thống nhúng thường khối riêng biệt mà hệ thống phức tạp nằm thiết bị mà điều khiển Các hệ thống nhúng bị giới hạn nhiều phần cứng chức phần mềm so với máy tính cá nhân Giới hạn phần cứng bao gồm giới hạn khả xử lý, tiêu thụ điện năng, nhớ, chức phần cứng,… Còn giới hạn phần mềm thường liên quan đến việc hỗ trợ ứng dụng, ứng dụng bị thu gọn tính năng, khơng có hệ điều hành hệ điều hành có nhiều hạn chế Tuy nhiên, ngày nay, những giới hạn đã khắc phục đáng kể hệ thống nhúng thiết kế phức tạp đầy đủ tính Phần mềm của hệ thống nhúng lưu trữ nhớ ROM, Flash gọi Firmware Hệ thống nhúng thiết kế để thực chức chuyên biệt Đây điểm khác biệt so với hệ thống máy tính khác máy tính cá nhân siêu máy tính thực nhiều chức khác với những phép tính phức tạp Chuyên dụng giúp nâng cao tính dễ sử dụng tiết kiệm tài nguyên Một số ví dụ điển hình hệ thống nhúng: Các hệ thống dẫn đường khơng lưu, hệ thống định vị tồn cầu, vệ tinh Các thiết bị gia dụng: tủ lạnh, lò vi sóng, lị nướng,… Các thiết bị kết nối mạng: router, hub, gateway,… Các thiết bị văn phòng: máy photocopy, máy fax, máy in, máy scan,… 5 Các thiết bị y tế: máy thẩm thấu, máy điều hòa nhịp tim,… Các máy trả lời tự động Dây chuyền sản xuất tự động công nghiệp, robots Kiến trúc hệ thớng nhúng Mỗi hệ thống nhúng có kiến trúc thổng thể sau: Hình 1.1: Kiến trúc tổng thể hệ thống nhúng 3.1 Hardware Vi xử lý, nhớ, tụ điện, điện trở, mạch tích hợp, bảng mạch in, connector, … Tất nhiên, thành phần bắt buột phải có cho tất hệ thống nhúng Vi xử lý: Bộ xử lý thiết kế riêng, bao gồm phần xử lý Có thể thay đổi thêm bớt thành phần ngoại vi cách linh hoạt Vi điều khiển: Được tích hợp thành phần ngoại vi chip để giảm kích thước hệ thống SoC (System on Chip): Một vi mạch tích hợp cao, hỗ trợ đa nhân xử lý nhiều giao tiếp chip Giúp tăng tốc thời gian thiết kế hệ thống Sử dụng mạch tích hợp cho ứng dụng cụ thể (ASIC) mạch logic khả trình (FPGA) 6 3.2 Phần mềm hệ thống Khơng bắt buộc phải có Device driver: UART, Ethernet, ADC… Hệ điều hành nhúng: eCos, ucLinux, VxWorks, Monta Vista Linux, BIOS, QNX… Quản lý nhớ, quản lý tiến trình, quản lý chia sẽ tài nguyên Có thể tái sử dụng hệ thống nhúng khác 3.3 Phần mềm ứng dụng Khơng bắt buộc phải có Quyết định hành vi (chức năng) của hệ thống nhúng Khó tái sử dụng hệ thống nhúng khác 7 CHƯƠNG 2: GIỚI THIỆU VỀ CHIP FPGA VÀ VI ĐIỀU KHIỂN PICOBLAZE 2.1 KHÁI QUÁT VỀ CHIP FPGA 2.1.1 Khái niệm: FPGA (Field Programmable Gate Arrays) thiết bị bán dẫn bao gồm khối logic lập trình gọi "Logic Block", kết nối khả trình Các khối logic lập trình để thực chức của khối logic AND, XOR, chức kết hợp phức tạp decoder phép tính tốn học Trong hầu hết kiến trúc FPGA, khối logic cũng bao gồm phần tử nhớ Đó Flip-Flop những nhớ hoàn chỉnh Các kết nối khả trình cho phép khối logic nối với theo thiết kế của người xây dựng hệ thống, giống bảng mạch khả trình Mật độ cổng logic (Logic Gate) của FPGA thông thường chứa từ 10 nghìn vài triệu cởng Ưu điểm của FPGA, người thiết kế tích hợp vào xử lý mềm (soft processor) hay vi xử lý nhúng (embedded processor) Các vi xử lý thiết kế khối logic thông thường, mà mã nguồn hãng cung cấp, thực thi lệnh theo chương trình nạp riêng biệt, có ngoại vi thiết kế linh động (khối giao tiếp UART, vào/ra đa chức GPIO, ethernet ) Các vi xử lý cũng lập trình lại (re-configurable computing) chạy 2.1.2 Ứng dụng FPGA FPGA ứng dụng điển hình lĩnh vực như: xử lý tín hiệu số, xử lý ảnh, thị giác máy, nhận dạng giọng nói, mã hóa, mơ (emulation) FPGA đặc biệt mạnh lĩnh vực ứng dụng mà kiến trúc của yê u cầu lượng rất lớn xử lý song song, đặc biệt mã hóa giải mã FPGA cũng sử dụng những ứng dụng cần thực thi thuật toán FFT, nhân chập (convolution), thay cho vi xử lý 8 Hiện công nghệ FPGA sản xuất hỗ trợ phần mềm hãng như: Xilinx, Altera, Actel, Atmel Trong Xilinx Altera hãng hàng đầu Xilinx cung cấp phần mềm miễn phí Windows, Linux, Altera cung cấp những cơng cụ miễn phí Windows, Linux, Solaris 2.2 VI ĐIỀU KHIỂN NHÚNG PICOBLAZE 2.2.1 Giới thiệu chung vi điều khiển Picoblaze Vi điều khiển PicoBlaze vi điều khiển nhúng bit có cấu trúc RISC tối ưu phát triển cho họ FPGA Spartan 3, Virtex II Virtex II Pro của Xilinx Với vi điều khiển làm điều khiển dựa vi điều khiển rất hiệu quả, hay xử lý dữ liệu đơn giản Vi điều khiển PicoBlaze tối ưu mặt hiệu śt chi phí phát triển thấp Nó chiểm khoảng 96 FPGA slices, hay 12.5% của XC3S50 FPGA chiếm phần rất nhỏ mức 0.3% của XC3S5000 FPGA Bình thường block RAM của FPGA lưu trữ tới 1024 câu lệnh chương trình (program instructions) lệnh tự động load cấu hình FPGA, vi điều khiển PicoBlaze hoạt động từ 44000 tới 100000 câu lệnh giây (MIPS – million instructions per second) tùy thuộc vào họ FPGA dùng loại gì tốc độ của họ Nhân của vi điều khiển PicoBlaze nhúng hồn tồn FPGA khơng cần nguồn thêm Đơn giản ngoại vi của PicoBlaze tự lựa chọn cho phù hợp với mục đích đặc biệt, chức yêu cầu giá của sản phẩm cuối cùng PicoBlaze nhúng vào dạng mã nguồn VHDL vì nhúng vào đời FPGA sau project của sẽ có tính kế thừa cao Được nhúng FPGA, vi điều khiển PicoBlaze sẽ giảm kích thước mạch, giá thiết kế thực PicoBlaze FPC hỗ trợ số công cụ phát triển phù hợp bao gồm assembler mơi trường phát triển đồ họa tích hợp (IDE – integrated development environment), graphical instruction set simulator mã nguồn VHDL khối mô Và rất đơn giản vi điều khiển PicoBlaze hỗ trợ môi trường phát triển Xilinx System Generator hay ISE 9 2.2.2 Các đặc điểm vi điều khiển PicoBlaze Hình 2.1: Sơ đồ khối cấu trúc của vi điều khiển PicoBlaze Vi điều khiển PicoBlaze có đặc điểm sau: - 16 ghi dữ liệu chức chung có độ rộng bit - Lưu trữ 1K lệnh chương trình có thê lâp trình chip tự động nạp cấu hình FPGA hay khởi động FPGA - Khối tính tốn logic (Arithmetic Logic Unit – ALU) với cờ CARRY ZERO - Một bảng RAM 64 byte - 256 đầu vào 256 đầu dễ dàng mở rộng thêm - Automatic 31 location CALL/RETURN stack - Predictable performance, luôn dùng xung nhịp hệ thống cho câu lệnh, đạt tới 200 MHz 100 MIPS Virtex – II Pro FPGA - Đáp ứng ngắt nhanh; worst-case clock cycles - Được tối ưu cho cấu truc Spartan – 3, Virtex II, Virtex II Pro FPGA của Xilinx chiếm 96 slices 0.5 tới block RAM · Hỗ trợ mô tệp lệnh assembler 2.2.3 Các khối chức vi điều khiển PicoBlaze Vi điều khiển PicoBlaze bao gồm 16 ghi chức chung có độ rộng byte từ s0 tới sF Để cho dễ dàng lập trình ghi 10 10 thử nghiệm, kinh nghiệm phán đoán có Bước mơ thực tế hội người thiết kế để minh họa ý tưởng cho người quản lý đội ngũ tiếp thị dự án *Phân hoạch HW/SW Vấn đề cốt lõi phương pháp luận codesign trình phân hoạch HW/SW, người thiết kế cơng cụ thiết kế phải định thành phần hệ thống thực HW, phần thực SW Quá trình thiết kế hệ thống bắt đầu việc mơ hình hóa, tức người thiết kế mô tả hành vi hệ thống cách hình thức Có nhiều phương pháp phân tích đánh giá hệ thống, tùy thuộc vào mơ hình lý thuyết, mức độ trừu tượng cách thức tích hợp Thơng thường, người ta dùng kỹ thuật xác định (hoặc thống kê) phương pháp nhật ký (profiling) để tìm phân hoạch (HW/SW) tốt Trong số cách thức phân hoạch, kỹ thuật phân hoạch xác định (deterministic), thống kê (statistical), điểm chuẩn (benchmarking) nhật ký thường dùng phổ biến Kỹ thuật phân hoạch xác định áp dụng cho mô hình đặc tả đầy đủ đã loại tất phụ thuộc dữ liệu chi phí của thành phần phải biết trước Kỹ thuật thường đạt phân hoạch tốt, sẽ thất bại có phần tử dữ liệu trước Trong trường hợp này, người ta phải dùng kỹ thuật phân hoạch theo thống kê Kỹ thuật dựa vào việc phân tích hệ thống số tham số thiết kế Phương pháp nhật ký thường dựa vào việc khảo sát dòng điều khiển dòng dữ liệu kiến trúc hệ thống để xác định phần bị tải khối lượng tính tốn thực phần cứng Phương pháp cho kết tốt tồn những điều kiện thực thi phụ thuộc nhiều vào dữ liệu Cơ chế phân hoạch hồn tồn tự động chưa có Các cơng cụ phân tích đánh giá cần thiết cho việc phân hoạch phát triển Người dùng dựa vào những kết đánh giá tự động để đưa định phân hoạch của mình Cách đáp ứng cho tình hình tại, lại chưa đủ cho ứng dụng cơng nghiệp Do đó, theo chúng tơi, cần phải tập trung nhiều vào việc phát 16 16 triển phương pháp phân hoạch công cụ cho nhu cầu cơng nghiệp Q trình phân hoạch hệ thống thực mức trừu trượng giai đoạn khác qui trình thiết kế Khi thực cấp cao, trình phân hoạch giống trình ánh xạ mođun Bước ánh xạ thực sớm trễ qui trình thiết kế Ánh xạ sớm thường sử dụng cơng nghiệp vì cho phép lập kế hoạch trước chọn lựa định thiết kế dễ dàng Tuy nhiên cách cho phép rất sự thay đởi từ phía khách hàng Ngược lại, ánh xạ trễ cho phép có những giải pháp tốt mặt hiệu vấn đề điều chỉnh mục tiêu theo yêu cầu của khách hàng * Tổng hợp cấu hình phần cứng Trong bước tổng hợp cấu hình phần cứng, người ta thiết kế mô hình phần cứng để thực mã chương trình trình tạo phần mềm sinh (ở ta giả sử việc tạo phần mềm thực trước) Mô hình xây dựng từ những mô tả phần cứng trình phân hoạch tạo từ trước Quá trình tổng hợp bao gồm việc ánh xạ công nghệ, nghĩa chuyển những mơ tả phần cứng (ví dụ VHDL, Verilog, C, …) thành những khối vật lý thực phần cứng Sự lựa chọn định sinh mã chương trình trình tạo phần mềm ảnh hưởng đến tốc độ thực thi của mã chương trình Nó cũng khiến trình cấu hình động phần cứng thành phần của thư viện thiết kế thực dễ dàng Thông thường, hầu hết phần cứng tạo với công cụ tổng hợp Bên cạnh thành phần phần cứng, thiết bị khả lập trình FPGA đồng xử lý khả cấu hình yêu cầu thêm mã chương trình Các phần mềm cấu hình cho mođun phần cứng FPGA, CLB động, tham số thiết lập cho ASIC, đơn giản có từ q trình tởng hợp Ngồi ra, đồng xử lý cấu hình động cũng cần mã chương trình sinh từ trình tạo phần mềm Các thành phần phần mềm phụ thuộc vào định đưa sau bước phân hoạch trình tạo phần mềm phụ thuộc vào định bước tởng hợp phần cứng trước Việc cấu hình phần cứng không hạn hẹp việc sắp xếp hàm logic mức cổng mức logic Người ta ứng dụng kỹ thuật cấu hình dựa sở tri thức để xây dựng mô hình phần cứng Theo cách 17 này, mođun với 17 độ phức tạp khác nhau, từ khối logic đến dãy xử lý, từ lõi xử lý mức hành vi đến dãy xử lý phức tạp, lưu trữ sở tri thức Dựa vào đặc tả của phần cứng, chương trình chọn lựa thành phần thích hợp từ sở dữ liệu từ xây dựng nên phần cứng * Tạo tham sớ hóa phần mềm Trong giai đoạn tạo tham số hóa phần mềm, mođun phần mềm sẽ tạo cho phần cứng đã tổng hợp cấu hình (ở ta giả thuyết ngược lại: phần cứng tạo trước phần mềm) Sự tương tác giữa thành phần phần cứng phần mềm đảm bảo định thời phần cứng phần mềm Do đó, tất mã chương trình phải bổ sung thêm chương trình định thời Bởi vì việc tạo phần mềm phụ thuộc vào mô hình phần cứng kiến trúc của nó, người ta phải chọn kiến trúc mục tiêu Việc chọn lựa cho phép sử dụng mã chương phần cứng Các thành phần từ thư viện cần tham số hóa cho phù hợp với nhu cầucần thiết * Tổng hợp giao diện Quá trình tởng hợp giao diện thiết lập sự đồng hóa phần cứng phần mềm Thông thường, kỹ thuật dùng trình sự trao đổi tín hiệu (HW), semaphore (SW), chế ngắt quãng Việc thực thay đổi từ logic đặc thù thiết bị logic có khả cấu hình Có số phương pháp tiếp cận kết hợp với định thời trung tâm phần mềm định thời sẽ gởi tín hiệu để kích hoạt trình phần cứng * Tích hợp đờng mơ Q trình tích hợp đồng mô HW/SW đối ngẫu với trình phân hoạch; độ phức tạp của trình Quá trình sẽ tạo mẫu thử mà xây dựng vật lý thực chương trình mô hệ thống lai Chương trình đồng mô HW/SW phải thực thi mođun sinh trình tạo phần mềm kiến trúc phần cứng Quá trình thực đồng mô chiếm rất nhiều thời gian Do người ta phải dùng kỹ thuật khác để tăng tốc độ mô Bài báo đề nghị dùng mô hình trừu tượng (abstract model) của xử lý mức hành vi thay vì dùng mô hình lớp cởng Trong phịng thí nghiệm cơng nghiệp, người ta dùng máy mô 18 18 với tốc độ mô cao Cách tiếp cận tổng quát của họ dùng vài máy mô tương tác với để giải vấn đề * Kiểm chứng thiết kế Kiểm chứng thiết kế trình để bảo đảm hệ thống tạo theo qui trình thiết kế thỏa mãn đặc tả ban đầu Thông thường, người ta kiểm chứng thiết kế sau đã mô phỏng, trước thực mẫu thử vật lý 3.2.2 Phương pháp tiếp cận dựa theo mô hình Trong phần trình bày sự khác biệt của phương pháp luận dựa theo mô hình phương pháp truyền thống Phương pháp luận truyền thống cho phép thực việc phân hoạch trước, nên rất thích hợp cơng nghiệp Như đã trình bày, việc phân hoạch HW/SW trước sẽ dễ dàng cho việc hoạch định kế hoạch phát triển sản phẩm từ đầu qui trình thiết kế Tuy nhiên việc phân hoạch sau (ánh xạ binding) đưa giải pháp tốt mặt điều chỉnh mục tiêu thiết kế, hiệu chi phí sản phẩm Trong phương pháp luận này, người ta dùng bước tinh chỉnh (refinement) để đảm bảo cho việc định công nghệ sau mô hình hệ thống hợp lệ Cách tiếp cận thúc đẩy việc tái sử dụng khối thiết kế có sẵn mà khơng cần quan tâm đến cơng nghệ thực của Điều làm giảm chi phí thời gian thiết kế Vịng lặp tinh chỉnh mô hình hệ thống độc lập với việc định công nghệ Đây ưu điểm quan trọng thiết kế hệ thống lớn vì việc điều chỉnh công nghệ (hay dịch chuyển từ HW sang SW ngược lại) thường đòi hỏi sự thay đổi nhiều phần giao tiếp Sự thay đổi ảnh hưởng khơng đến hiệu mà cịn đến hành vi của hệ thống 3.3 CODESIGN VỚI SỰ TRỢ GIÚP CỦA MÁY TÍNH Trong vài năm gần đây, đã chứng kiến sự gia tăng nhanh chóng của phần mềm tự động hóa thiết kế (EDA tools) hệ thống tích hợp phần mềm này, thường gọi môi trường thiết kế với sự trợ giúp của máy tính (CAD framework) CFI (CAD Framework Initiative) xem môi trường tập hợp chương trình/mođun mở rộng dùng để phát triển hệ thống CAD đồng nhất định nghĩa sau: “Môi trường thiết kế với sự trợ giúp của máy tính sở hạ tầng phần mềm, cung cấp môi trường hoạt động chung cho 19 19 công cụ CAD Một môi trường phải cho phép người dùng chạy quản lý công cụ; tạo, tổ chức quản lý dữ liệu; quan sát dạng đồ họa toàn trình thiết kế; thực nhiệm vụ quản lý thiết kế quản lý cấu hình phiên Một số thành phần cốt yếu của mơi trường CAD liệt kê như: giao diện người dùng đồ họa độc lập với hệ thống, trao đổi thông tin giữa công cụ, quản lý trình dữ liệu thiết kế, dịch vụ CSDL” Các hệ thống CAD thiết kế vi mạch VLSI có hệ thống phát triển tích hợp nhiều thành phần mơi trường, ví dụ như, điều khiển công cụ, quản lý cấu hình phiên bản, … Tuy nhiên chúng chưa thay đởi thích hợp để xử lý đặc tính vốn có của codesign Các hệ thống thiết kế giải vấn đề lĩnh vực đơn lẻ mà chưa có tầm nhìn tồn cục Có rất cơng cụ thiết kế cho việc tương tác với môi trường công cụ khác để đặc tả chéo HW/SW, phát triển, mơ phỏng, tích hợp kiểm tra Chúng cho công cụ tính tốn cao cấp có mức độ hiệu giới hạn, khơng có những định thiết kế tin cậy để hỗ trợ cho phương pháp luận việc tạo nên những xử lý có hệ thống nhiều mục tiêu ràng buộc tác động đến trình codesign Do người ta u cầu cơng cụ kỹ thuật codesign phải gộp lại theo cách tiếp cận gọi CASHE (Computer-Aided Software/Hardware Engineering) Môi trường CASHE phải tích hợp khái niệm cao cấp quản lý công việc qui trình thiết kế, kỹ thuật đồng thời cho việc thiết kế kết hợp, hệ thống hỗ trợ định (DSS) kỹ thuật hệ chuyên gia Trong, người ta đã đưa khái niệm cho việc thiết kế mẫu thông qua kỹ thuật mô dựa sở tri thức Cách tiếp cận tập trung vào việc phát triển mô hình mô với đặc điểm của hệ thống cần thiết kế Các mô hình phát triển mức trừu tượng granularity khác Mô hình bao gồm môđun tái sử dụng CSDL mođun Việc kiểm tra bổ sung CSDL mô phỏng, dùng để kiểm tra chéo việc tương thích mơ hình với đặc tả, ràng buộc yêu cầu của kỹ thuật dựa hệ chuyên gia để tạo đánh giá giải pháp chiến thuật thiết kế khác 20 20 ... cứu đề tài ? ?Thiết kế hệ thống nhúng sử dụng vi xử lý FPGA Picoblaze để đo nhiệt độ đưa tín hiệu điều khiển môtơ PWM? ?? Nội dung đề tài bao gồm những phần sau: Tổng quan hệ thống nhúng Giới thiệu... chung vi điều khiển Picoblaze Vi điều khiển PicoBlaze vi điều khiển nhúng bit có cấu trúc RISC tối ưu phát triển cho họ FPGA Spartan 3, Virtex II Virtex II Pro của Xilinx Với vi điều khiển làm điều. .. chip FPGA vi điều khiển Picoblaze Tổng quan đồng thiết kế codesign Thiết kế ứng dụng nhúng kit 2 3 MỤC LỤC 4 CHƯƠNG 1: TỔNG QUAN VỀ HỆ THỐNG NHÚNG Khái niệm hệ thống nhúng Hệ thống nhúng

Ngày đăng: 01/03/2023, 14:55

Tài liệu cùng người dùng

Tài liệu liên quan