Chương 4 Mạch Đếm Lên, Đếm Xuống, Đặt Trước Số Đếm.pdf

18 1 0
Chương 4 Mạch Đếm Lên, Đếm Xuống, Đặt Trước Số Đếm.pdf

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Baøi soá 7 MAÏCH ÑEÁM LEÂN – ÑEÁM XUOÁNG – ÑAËT TRÖÔÙC SOÁ ÑEÁM Page | 93 Chương 4 Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED 7 đoạn Bộ môn Điện tử Công nghiệp – Y sinh CHƯƠNG 4 MẠCH ĐẾM[.]

Bộ môn Điện tử Công nghiệp – Y sinh CHƯƠNG MẠCH ĐẾM LÊN, ĐẾM XUỐNG, ĐẶT TRƯỚC SỐ ĐẾM VÀ GIẢI MÃ LED ĐOẠN 4.1 MỤC ĐÍCH YÊU CẦU Khảo sát mạch đếm đặt trước số đếm, đếm lên đếm xuống giải mã LED đoạn Thiết kế mạch đếm dùng IC đếm 4.2 NHIỆM VỤ GIẢNG VIÊN Kiểm tra mục 4.3 xem học viên làm chưa? Đánh dấu vào “√/×” vào phiếu đánh giá tương ướng với mục Hỏi nội dung để kiểm tra học sinh có đọc trước hay khơng? Đánh dấu vào “√/×” vào phiếu đánh giá học viên hỏi Trình bày mục đích thực hành, nội dung thực hành, hướng dẫn học viên thực trình tự thực hành, ơn tập lại kiến thức mạch đếm lên, đếm xuống, đặt trước số đếm, giải mã LED đoạn, bảng trạng thái, kí hiệu Thường xuyên quan sát học viên thực 4.3 NHIỆM VỤ HỌC VIÊN Thực đầy đủ câu hỏi phần đọc trước đến lớp Hãy cho biết bảng trạng thái mạch đếm lên bit ABCD (điền vào bảng 4-1) Hãy cho biết bảng trạng thái mạch đếm xuống bit ABCD (điền vào bảng 4-2) Hãy điền số thập phân, số BCD mã đoạn anode chung vào bảng 4-3: Có IC giải mã cho led đoạn: ………… nêu tên: ……………… Có led đoạn? Hãy nêu tên: ………………………………………… Hãy vẽ kí hiệu led đoạn làm cách để xác định led đoạn loại gì? Hãy vẽ mạch đặt trước số đếm bit đồng sử dụng FF JK Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Page | 93 Bộ môn Điện tử Cơng nghiệp – Y sinh Bảng 4-1 Trình tự đếm lên Thập phân D C B Bảng 4-2 Trình tự đếm xuống Thập phân A D C B A Bảng 4-3 Mã BCD mã LED đoạn anode chung Thập phân Page | 94 Mã BCD D C B Mã đoạn led anode chung A g f e d c b a Led sáng Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Bộ môn Điện tử Công nghiệp – Y sinh 4.4 KHẢO SÁT IC ĐẾM BCD ĐẶT TRƯỚC SỐ ĐẾM 74LS192 4.4.1  KHẢO SÁT DATASHEET CỦA IC 74LS192 HOẶC 40192 Hãy tra cứu datasheet để biết sơ đồ chân, bảng trạng thái, chức thơng số IC, sau tóm tắt sơ đồ chân, sơ đồ logic bảng trạng thái IC: Hình 4-1 Sơ đồ chân sơ đồ kí hiệu IC 74LS192 Bảng 4-4 Bảng trạng thái hoạt động IC 74LS192   4.4.2 Tên tín hiệu: Hãy cho biết chức đường tín hiệu Đường MR, ̅̅̅̅ 𝑇𝐶𝑈 , ̅̅̅̅ 𝑇𝐶𝐷 : Đường ̅̅̅̅ 𝑃𝐿 P3P2P1P0: Chân cấp nguồn: Khi muốn đếm lên xung CP1 đưa đến ngõ vào nào: Khi muốn đếm xuống xung CP1 đưa đến ngõ vào nào: SƠ ĐỒ CHÂN IC 74LS192 (HOẶC 40192) TRÊN BỘ THÍ NGHIỆM  Có IC 74LS192 gắn thí nghiệm mang số thứ tự IC24 IC25 có sơ đồ kết nối với tên hình 4-2, nguồn IC cung cấp  Do có IC nên ngõ IC thứ đặt tên từ Q4 đến Q7 để ghép thành mạch đếm bit Các ngõ vào độc lập  Hình ảnh IC thí nghiệm hình 4-3 Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Page | 95 Bộ môn Điện tử Công nghiệp – Y sinh Hình 4-2 Sơ đồ kết nối tên ngõ vào IC 74LS192 Hình 4-3 Hình ảnh IC 74LS192 board mạch tên tín hiệu vào MẠCH ĐẾM BCD – ĐẾM LÊN – HIỂN THỊ TRÊN LED ĐOẠN 4.4.3 Kết nối mạch điện hình 4-4: a Hình 4-4 Mạch đếm BCD – đếm lên sử dụng IC 74LS192 b  Quan sát hoạt động mạch: Quan sát ngõ vào xung CP1 ngõ điền vào bảng 4-5 Bảng 4-5 Các trạng thái đếm lên OUTPUTS Đếm CP1 Q3 Q2 Q1 Q0 TCU Page | 96 TCD Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Bộ môn Điện tử Công nghiệp – Y sinh 10 4.4.4 a MẠCH ĐẾM BCD – ĐẾM XUỐNG – HIỂN THỊ TRÊN LED ĐOẠN Kết nối mạch điện hình 4-5: Hình 4-5 Mạch đếm BCD – đếm xuống sử dụng IC 74LS192 b Quan sát hoạt động mạch:  Quan sát ngõ vào xung CP1 ngõ điền vào bảng 4-6 Bảng 4-6 Các trạng thái đếm xuống Đếm CP1 OUTPUTS Q2 Q1 Q3 Q0 10 4.4.5 a MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ ĐẾN (0001  0101) Trình tự đếm hình 4-6: Hình 4-6 Trình tự đếm từ đến Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Page | 97 Bộ môn Điện tử Công nghiệp – Y sinh Kết nối mạch điện hình 4-7: b Hình 4-7 Mạch đếm từ đến sử dụng IC 74LS192 c Quan sát hoạt động mạch:  Với mạch đếm ta phải sử dụng trường hợp đặt trước số đếm ngõ vào P3P2P1P0 = 0001 SW tương ứng Khi có xung mạch đếm tăng giá trị đếm từ 0001 đến 0101, có xung mạch tăng đến giá trị 0110 ta lấy giá trị qua cổng NAND để nạp lại số 0001 mạch lặp lại trình tự đếm  Quan sát ngõ vào xung CP1 ngõ điền vào bảng 4-7 Bảng 4-7 Các trạng thái đếm từ xuống Đếm CP1 Q3 OUTPUTS Q2 Q1 Q0  4.4.6 a Hãy cho biết chức ngõ vào PL chức cổng NAND sơ đồ mạch MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ ĐẾN (0010  0111) Trình tự đếm hình 4-8: Hình 4-8 Trình tự đếm từ đến b Kết nối mạch điện hình 4-9:  Với mạch đếm ta phải sử dụng trường hợp đặt trước số đếm ngõ vào P3P2P1P0 = 0010 SW tương ứng Trạng thái điều khiển nạp lại Q3Q2Q1Q0 = 1000 Page | 98 Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Bộ môn Điện tử Cơng nghiệp – Y sinh Hình 4-9 Mạch đếm từ đến sử dụng IC 74LS192 c Quan sát hoạt động mạch:  Quan sát ngõ vào xung CP1 ngõ điền vào bảng 4-8 Bảng 4-8 Các trạng thái đếm từ đến Đếm CP1 Q3 OUTPUTS Q2 Q1 Q0 d 4.4.7 a Hãy giải thích hoạt động mạch: MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ XUỐNG (0101  0001) Trình tự đếm hình 4-10: Hình 4-10 Trình tự đếm từ xuống Kết nối mạch điện hình 4-11:  Với mạch đếm ta phải sử dụng trường hợp đặt trước số đếm ngõ vào P3P2P1P0 = 0101 SW tương ứng Mạch đếm 0101 có xung giá trị đếm giảm xuống 0000 ta sử dụng trạng thái để nạp lại số 0101 c Quan sát hoạt động mạch:  Quan sát ngõ vào xung CP1 ngõ điền vào bảng 4-9 b Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Page | 99 Bộ môn Điện tử Công nghiệp – Y sinh Hình 4-11 Mạch đếm từ xuống sử dụng IC 74LS192 Bảng 4-9 Các trạng thái đếm từ đến Đếm CP1 Q3 OUTPUTS Q2 Q1 Q0 8.4.8 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ XUỐNG (0111  0001) 8.4.9 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ XUỐNG (0111  0011) 8.4.10 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ XUỐNG (0110  0100) 8.4.11 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ XUỐNG (0111  0001) 8.4.12 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ ĐẾN (0001  1000) 8.4.13 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ ĐẾN (0011  1000) 8.4.14 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ ĐẾN (0010  1001) Page | 100 Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Bộ môn Điện tử Công nghiệp – Y sinh 4.5 THIẾT KẾ CÁC MẠCH ỨNG DỤNG THIẾT KẾ MẠCH ĐẾM LÊN TỪ 00 (0000 0000) ĐẾN 99 (1001 1001) 4.5.1 a Kết nối mạch điện hình 4-12:  Trong sơ đồ mạch sử dụng IC giải mã có thí nghiệm  Ngõ TCU IC đếm hàng đơn vị nối với ngõ vào CPU IC đếm hàng chục – đếm lên ngõ TCD IC đếm hàng đơn vị nối với ngõ vào CPD IC đếm hàng chục – đếm xuống Hình 4-12 Mạch đếm từ 00 đến 99 sử dụng IC 74LS192 b c Quan sát hoạt động mạch: Hãy giải thích hoạt động mạch: 4.5.2 HÃY THIẾT KẾ MẠCH ĐẾM TỪ 00 ĐẾN 59 DÙNG IC ĐẾM 74LS192 4.5.3 THIẾT KẾ MẠCH ĐẾM TỪ 01 (0000 0001) ĐẾN 12 (0001 0010) a Kết nối mạch điện hình 4-13: Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Page | 101 Bộ mơn Điện tử Cơng nghiệp – Y sinh Hình 4-13 Mạch đếm từ 01 đến 12 sử dụng IC 74LS192  Mạch gọi mạch đếm từ đến 12 lại b Quan sát hoạt động mạch:  Quan sát hoạt động mạch ghi giá trị vào bảng 4-10 Bảng 4-10 Các trạng thái đếm CP1 Q5 Q4 OUTPUTS Q3 Q2 Q1 Q0 Led đoạn 10 11 12 13 c Hãy giải thích hoạt động mạch: 4.5.4 HÃY THIẾT KẾ MẠCH ĐẾM TỪ 12 ĐẾN 25 DÙNG IC ĐẾM 74LS192 4.5.5 HÃY THIẾT KẾ MẠCH ĐẾM TỪ 25 ĐẾN 35 DÙNG IC ĐẾM 74LS192 Page | 102 Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Bộ môn Điện tử Công nghiệp – Y sinh 4.5.6 THIẾT KẾ MẠCH ĐẾM TỪ 99 (1001 1001) XUỐNG 00 (0000 0000) a Kết nối mạch điện hình 4-14: Hình 4-14 Mạch đếm từ 99 xuống 00 sử dụng IC 74LS192 b c 4.5.7 a Quan sát hoạt động mạch: Hãy giải thích hoạt động mạch: THIẾT KẾ MẠCH ĐẾM TỪ 59 (0101 1001) XUỐNG 00 (0000 0000) Kết nối mạch điện hình 4-15: Hình 4-15 Mạch đếm từ 59 xuống 00 sử dụng IC 74LS192 b c Quan sát hoạt động mạch: Hãy giải thích hoạt động mạch: Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Page | 103 Bộ môn Điện tử Công nghiệp – Y sinh HÃY THIẾT KẾ MẠCH ĐẾM LÊN TỪ 00 ĐẾN 99 CÓ MỘT PHÍM START/STOP 4.5.8 a Kết nối mạch điện hình 4-16:  Mạch có nút nhấn điều khiển start/stop có chức năng: ngừng đếm ta nhấn nút “start/stop” mạch bắt đầu đếm, mạch đếm ta nhấn nút “start/stop” mạch ngừng đếm  Chú ý nút nhấn “start/stop” nút “MONO1” Hình 4-16 Mạch đếm từ 00 đến 99 sử dụng IC 74LS192 có thêm nút start/stop Quan sát hoạt động mạch: Hãy giải thích hoạt động mạch: b c HÃY THIẾT KẾ MẠCH ĐẾM LÊN/XUỐNG (00  99) CĨ MỘT PHÍM UP/DN 4.5.9 a Kết nối mạch điện hình 4-17:  Nút nhấn điều khiển UP/DN có chức năng: đếm lên ta nhấn nút “UP/DN” mạch đếm xuống, đếm xuống ta nhấn nút “UP/DN” mạch đếm lên Hình 4-17 Mạch đếm lên/xuống sử dụng IC 74LS192 có thêm nút UP/DN Page | 104 Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Bộ môn Điện tử Công nghiệp – Y sinh  b c Chú ý: nút mono1 nút “UD/DN” Quan sát hoạt động mạch: Hãy giải thích hoạt động mạch: 4.6 KHẢO SÁT IC GIẢI MÃ LED ĐOẠN ANODE CHUNG 74LS247 KHẢO SÁT DATASHEET CỦA IC GIẢI MÃ 74LS247 4.6.1  Hãy khảo sát datasheet để biết sơ đồ chân, bảng trạng thái, thông số IC, sau sơ đồ chân, sơ đồ logic bảng trạng thái IC hình 4-18: Hình 4-18 Sơ đồ chân IC 74LS247 hiển thị số tương ứng với mã nhị phân Bảng 4-11 Bảng trạng thái IC 74247  Hãy cho biết IC giải mã 74LS247 cho led đoạn loại gì: - Chức tín hiệu điều khiển LT: - Chức tín hiệu điều khiển RBI BI: - Chân cấp nguồn Vcc GND: Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Page | 105 Bộ môn Điện tử Công nghiệp – Y sinh SƠ ĐỒ CHÂN IC 74LS247 TRÊN BOARD MẠCH 4.6.2  Có IC 74LS247 gắn thí nghiệm mang số thứ tự IC22, có sơ đồ kết nối với tên hình 4-19, nguồn IC cung cấp  IC giải mã led anode chung nên ngõ tích cực mức thấp Hình 4-19 Sơ đồ kết nối tên ngõ vào IC 74LS247 Hình 4-20 Hình ảnh IC 74LS247 board mạch tên tín hiệu vào MẠCH ĐẾM BCD HIỂN THỊ BẰNG LED ĐOẠN 4.6.3 Kết nối mạch điện hình 4-21: a Hình 4-21 Mạch đếm BCD có giải mã hiển thị led đoạn Chú ý: Các ngõ IC giải mã 74LS247 nối với ngõ vào led đoạn loại anode chung chưa giải mã b Quan sát hoạt động mạch:  Hãy chuyển đổi trạng thái SW1, SW2, SW3 để kiểm tra trạng thái có bảng trạng thái  Khi mạch đếm ta nhấn RSTH mạch hoạt động nào?  Khi thực hành mạch hình 4-21 led đoạn hiển thị số 1, 3, 5, 7, 0, 2, 4, 6, mạch bị tượng gì? Page | 106 Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Bộ môn Điện tử Công nghiệp – Y sinh  Nếu led đoạn hiển thị số hình 4-22 bị tượng gì? Giải thích? Hình 4-22 Các tượng hiển thị led đoạn 4.6.4 a MẠCH ĐẾM BCD TỪ 00 ĐẾN 99 HIỂN THỊ LED ĐOẠN Kết nối mạch điện hình 4-23: Hình 4-23 Mạch đếm BCD từ 00 đến 99 có giải mã hiển thị led đoạn Chú ý: ngõ IC đếm hàng đơn vị (IC17) nối với ngõ vào IC giải mã kết nối sẵn thí nghiệm b 4.6.5 Quan sát hoạt động mạch:  Hãy quan sát kết đếm led đoạn xem có từ 00 đến 99 hay không?  Hãy cho biết xung cấp cho mạch đếm hàng chục tên mạch đếm hàng chục tăng giá trị? MẠCH ĐẾM BCD TỪ 00 ĐẾN 99 HIỂN THỊ ĐOẠN CĨ XỐ SỐ VƠ NGHĨA Kết nối mạch điện hình 4-24 Quan sát hoạt động mạch:  Hãy quan sát kết đếm xem có với trình tự đếm sau hay khơng: bắt đầu đếm ta nhấn nút reset Led đoạn hàng chục tắt, led đoạn hàng đơn vị sáng Khi có xung clock giá trị đếm tăng giá trị hàng đơn vị bắt đầu chuyển trạng thái từ hàng chục bắt đầu sáng số Quá trình đếm tiếp tục tăng 99 tự động lại 00 c Giải thích ngun lý xóa số 0:  Ngun lý xố số vơ nghĩa hàng chục là: bảng trạng thái IC giải mã ngõ vào RBI = trạng thái ngõ vào 0000 led tắt a b Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Page | 107 Bộ môn Điện tử Cơng nghiệp – Y sinh Hình 4-24 Mạch đếm từ 00 đến 99 hiển thị led đoạn xóa số vơ nghĩa MẠCH ĐẾM TỪ 000 ĐẾN 999 HIỂN THỊ LED ĐOẠN CĨ XỐ SỐ VÔ NGHĨA 4.6.6    Sinh viên tự vẽ mạch ráp mạch đếm từ đến 999 có xố số vơ nghĩa hàng trăm hàng chục Chú ý: xoá hàng trăm trước Nếu hàng trăm vơ nghĩa kiểm tra xố hàng chục, hàng trăm có nghĩa khơng xoá hàng chục Sử dụng IC đếm 7490 IC 7493 MẠCH ĐẾM TỪ 00 ĐẾN 99 HIỂN THỊ ĐOẠN CÓ ĐIỀU KHIỂN ĐẾM/ NGỪNG 4.6.7 Kết nối mạch điện hình 4-25: a Hình 4-25 Mạch đếm từ 00 đến 99 hiển thị led đoạn đếm/ngừng đếm  b Page | 108 Mạch sử dụng IC giải mã có sẵn thí nghiệm Quan sát hoạt động mạch: Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Bộ môn Điện tử Công nghiệp – Y sinh    Khi LED1 tắt tương ứng với ngõ Q mức  khóa cổng AND  xung CP1 khơng qua cổng AND nên mạch ngừng đếm Khi LED1 sáng tương ứng với ngõ Q mức  mở cổng AND xung CP1 qua cổng AND nên mạch đếm theo xung CP1 Để chuyển đổi trạng từ ngừng đếm sang đếm ngược lại ta nhấn nút MONO1 để kích Flip-Flop lật trạng thái MẠCH ĐẾM TỪ 00 ĐẾN 59 HIỂN THỊ ĐOẠN VÀ CÓ NÚT ĐIỀU KHIỂN ĐẾM/ NGỪNG 4.6.8  Sinh viên tự thiết kế mạch 4.7 KHẢO SÁT IC GIẢI MÃ LED ĐOẠN LOẠI CATHODE CHUNG 4511 KHẢO SÁT DATASHEET CỦA IC GIẢI MÃ 4511 4.7.1   4.7.2  Hãy khảo sát datasheet để biết sơ đồ chân, bảng trạng thái, thông số IC, sau sơ đồ chân, sơ đồ logic bảng trạng thái IC hình 4-26: Hình 4-26 Sơ đồ chân bảng trạng thái IC giải mã 4511 Hãy cho biết IC giải mã 4511 cho led đoạn loại gì: - Chức tín hiệu điều khiển LT: - Chức tín hiệu điều khiển BI: - Chức tín hiệu điều khiển LE: - Chân cấp nguồn Vcc GND: SƠ ĐỒ CHÂN IC 4511 TRÊN BỘ THÍ NGHIỆM Có IC 4511 gắn thí nghiệm mang số thứ tự IC23, sơ đồ kết nối với tên hình 4-27, nguồn IC cung cấp Hình 4-27 Sơ đồ kết nối tên ngõ vào IC 4511 Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Page | 109 Bộ môn Điện tử Công nghiệp – Y sinh Hình 4-28 Hình ảnh IC HFE4511 board mạch tên tín hiệu vào MẠCH ĐẾM BCD HIỂN THỊ BẰNG LED ĐOẠN 4.7.3 Kết nối mạch điện hình 4-29: a Hình 4-29 Mạch đếm BCD Chú ý: Các ngõ IC giải mã 4511 nối với ngõ vào led đoạn loại cathode chung chưa giải mã b Quan sát hoạt động mạch:  Hãy chuyển đổi trạng thái SW1, SW2, SW3 để kiểm tra trạng thái có bảng trạng thái  Quan sát ngõ vào xung CP1 ngõ để kiểm tra lại bảng trạng thái đếm cho biết mạch đếm hay sai MẠCH ĐẾM 0000 ĐẾN 9999 HIỂN THỊ LED ĐOẠN 7.5.4  Sinh viên dùng IC đếm 7490, IC đếm 7493, IC giải mã 74247, 4511 với IC 74247 có sẵn, cổng logic để ráp mạch đếm từ 0000 đến 9999 hiển thị led đoạn 4.8 CÂU HỎI KIỂM TRA ĐÁNH GIÁ Câu 4-1: Cho biết dạng sóng ngõ chân 12 13 IC 74LS192 so với xung CK Câu 4-2: So sánh IC đếm 74LS90 74LS192 Câu 4-3: Hãy thiết kế mạch đếm lên theo trình tự: đếm từ đến sau đếm từ đếm lặp lại Câu 4-4: Hãy so sánh IC 74LS90 74LS192 Câu 4-5: So sánh khác IC giải mã 4511 74LS247 Câu 4-6: Tra cứu IC 4553 cho biết chức IC Câu 4-7: Thiết kế mạch đếm giây - đếm phút cho đồng hồ số Page | 110 Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn ... 0001) 8 .4. 12 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ ĐẾN (0001  1000) 8 .4. 13 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ ĐẾN (0011  1000) 8 .4. 14 HÃY THIẾT KẾ MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ ĐẾN... OUTPUTS Q2 Q1 Q3 Q0 10 4. 4.5 a MẠCH ĐẾM ĐẶT TRƯỚC SỐ ĐẾM TỪ ĐẾN (0001  0101) Trình tự đếm hình 4- 6: Hình 4- 6 Trình tự đếm từ đến Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn... sáng Chương Mạch đếm lên, đếm xuống, đặt trước số đếm – Giải mã LED đoạn Bộ môn Điện tử Công nghiệp – Y sinh 4. 4 KHẢO SÁT IC ĐẾM BCD ĐẶT TRƯỚC SỐ ĐẾM 74LS192 4. 4.1  KHẢO SÁT DATASHEET CỦA IC 74LS192

Ngày đăng: 21/02/2023, 15:45

Tài liệu cùng người dùng

Tài liệu liên quan