Giáo trình Mạch logic số (Nghề: Sửa chữa thiết bị tự động hóa - Cao đẳng) - Trường Cao Đẳng Dầu Khí

68 34 0
Giáo trình Mạch logic số (Nghề: Sửa chữa thiết bị tự động hóa - Cao đẳng) - Trường Cao Đẳng Dầu Khí

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

(NB) Giáo trình Mạch logic số (Nghề: Sửa chữa thiết bị tự động hóa - Cao đẳng) được biên soạn gồm các nội dung chính sau: Cơ sở kỹ thuật số; Mạch nhớ; Mạch đếm; Các mạch số học và giải mã. Mời các bạn cùng tham khảo!

TẬP ĐỒN DẦU KHÍ VIỆT NAM TRƯỜNG CAO ĐẲNG DẦU KHÍ  GIÁO TRÌNH MƠ ĐUN: MẠCH LOGIC SỐ NGHỀ: SỬA CHỮA THIẾT BỊ TỰ ĐỘNG HĨA TRÌNH ĐỘ: CAO ĐẲNG (Ban hành kèm theo Quyết định số:216/QĐ-CĐDK ngày 01 tháng 03 năm 2022 Trường Cao Đẳng Dầu Khí) Bà Rịa - Vũng Tàu, năm 2022 (Lưu hành nội bộ) TUYÊN BỐ BẢN QUYỀN Tài liệu thuộc loại sách giáo trình nên nguồn thơng tin phép dùng nguyên trích dùng cho mục đích đào tạo tham khảo Mọi mục đích khác mang tính lệch lạc sử dụng với mục đích kinh doanh thiếu lành mạnh bị nghiêm cấm LỜI GIỚI THIỆU Giáo trình Mạch Logic Số dịch biên soạn dành cho sinh viên hệ cao đẳng nghề Sửa chữa thiết bị tự động hóa (SCTBTĐH) Trường Cao Đẳng Dầu Khí thuộc mơn học sở ngành Các sinh viên nghề SCTBTĐH trước học mơn học cần hồn thành mơn học an tồn tự động hóa Nội dung giáo trình gồm 04 bài: Bài 1: Cơ sở kỹ thuật số Bài 2: Mạch nhớ Bài 3: Mạch đếm Bài 4: Các mạch số học giải mã Tác giả chân thành gửi lời cám ơn đến đồng nghiệp mơn Tự Động Hóa giúp tác giả hồn thiện giáo trình Tuy nỗ lực nhiều, chắn khơng thể tránh khỏi sai sót, mong nhận ý kiến đóng góp để lần ban hành hoàn thiện Bà Rịa, Vũng Tàu, tháng 03 năm 2022 Tham gia biên soạn Chủ biên: ThS Bùi Minh Thảo ThS Lương Quốc Kông KS Tạ Ngọc Dũng Bài 1: Cơ sở kĩ thuật số Trang MỤC LỤC BÀI 1: CƠ SỞ KĨ THUẬT SỐ 12 1.1 Công nghệ kĩ thuật số 12 1.1.1 Nguồn cấp (Power Supply) .14 1.1.2 Mức logic (Logic Level) .14 1.1.3 Các cổng (Gates) .15 1.1.4 Các ký hiệu (Symbols) .16 1.2 Các cổng logic 17 1.2.1 Cổng AND 17 1.2.2 Cổng OR .18 1.2.3 Cổng XOR 19 1.2.4 Cổng NOT 19 1.3 Các cổng biến đổi 20 1.3.1 Cổng NAND .20 1.3.2 Cổng NOR 21 1.3.3 Cổng XNOR .21 1.4 Logic tổ hợp (Mạch tổ hợp) 22 1.4.1 Phân tích mạch logic tổ hợp 22 1.4.2 Ví dụ mạch logic tổ hợp .24 1.4.3 Các vấn đề liên quan đến mạch logic tổ hợp 26 1.4.4 Cổng đệm (Buffer Gate) .27 BÀI 2: MẠCH NHỚ 29 2.1 Các phần tử nhớ 31 2.1.1 RSFF (RS Flip-Flop) 31 2.2.2 RSFF cổng NAND 33 2.2 Logic đồng 33 2.2.1 Các tín hiệu xung đồng (Clock Signals) 34 2.2.2 Giản đồ thời gian (Timing Diagrams) 35 2.2.3 RSFF đồng .35 2.2.4 DFF (Data Flip-flop) 36 2.2.5 JKFF (FF vạn năng) 38 2.3 Các thiết bị nhớ cỡ lớn 39 2.3.1 Các ghi (Basic Registers) 39 2.3.2 Các ghi chuyên dụng (Specialized Registers) 40 Bài 1: Cơ sở kĩ thuật số Trang BÀI 3: MẠCH ĐẾM 41 3.1 Các hệ thống số đếm 41 3.1.1 Hệ nhị phân (Binary number) .42 3.1.2 Hệ thập lục phân (Hexadecimal number) 43 3.1.3 Mã BCD (Binary Coded Decimal) .44 3.2 3.3 3.4 Bộ đếm nhị phân 44 Bộ đếm BCD 46 Bộ đếm kiểu đếm lên/đếm xuống (Up/down counters 47 BÀI 4: CÁC MẠCH SỐ HỌC VÀ GIẢI MÃ 49 4.1 Mạch số học 49 4.1.1 Nguyên tắc cộng 50 4.1.2 Mạch cộng số học .50 4.1.3 Sơ đồ mạch logic cộng nhị phân 51 4.2 Mạch giải mã 52 4.2.1 Bộ giải mã (Basic Decoder) 53 4.2.2 Mạch giải mã BCD sang LED đoạn hiển thị (IC 7447) 55 PHỤ LỤC .58 PHỤ LỤC A: CÁC VI MẠCH CỔNG VÀ FF THÔNG DỤNG 58 PHỤ LỤC B: CÁC VI MẠCH TỔ HỢP THÔNG DỤNG 60 PHỤ LỤC C: CÁC VI MẠCH TUẦN TỰ THÔNG DỤNG 61 PHỤ LỤC D: CÁC CÂU HỎI TRẮC NGHIỆM: 63 TÀI LIỆU THAM KHẢO 66 Bài 1: Cơ sở kĩ thuật số Trang DANH MỤC CÁC HÌNH VẼ Hình 0-1: Ví dụ mức logic ngõ vào mức logic ngõ 15 Hình 0-2: Các kiểu ký hiệu logic .16 Hình 0-3: Kí hiệu cổng AND 17 Hình 0-4: Mạch điện cổng AND 17 Hình 0-5: Kí hiệu cổng OR 18 Hình 0-6: Mạch điện cổng NOR 18 Hình 0-7: Kí hiệu cổng XOR 19 Hình 0-8: Kí hiệu cổng NOT 19 Hình 0-9: Cổng NOT sử dụng với cổng logic khác 20 Hình 0-10: Kí hiệu cổng NAND 20 Hình 0-11: Kí hiệu cổng logic NOR 21 Hình 0-12: Kí hiệu cổng logic XNOR .22 Hình 0-13: Ví dụ mạch logic tổ hợp 23 Hình 0-14: Sơ đồ mạch điểu khiển mạch logic lị đốt 26 Hình 0-15: Ví dụ cổng logic có ngõ vào 27 Hình 0-16: Kí hiệu cổng đệm (BUFFER) 28 Hình 0-1: Kí hiệu RSFF 31 Hình 0-2: RSFF làm từ cổng NOR đáp ứng RSFF với tổhợp ngõ vào khác 32 Hình 0-3: Sơ đồ mạch RSFF cổng NAND 33 Hình 0-4: Xung nhịp đồng 34 Hình 0-5: Xung kích sườn (A) xung kích mức (B) .35 Hình 0-6: NOR RSFF đồng 36 Hình 0-7: Giản đồ thời gian NOR RSFF đồng .36 Hình 0-8: DFF kích theo mức logic (A) kích theo sườn (B) .37 Hình 0-9: Giản đồ thời gian DFF kích theo mức logic 37 Hình 0-10: Giản đồ thời gian DFF kích sườn dương .38 Hình 0-11: Kí hiệu logic JKFF 38 Hình 0-12: Giản đồ thời gian JKFF trạng thái lật (J=K=1) 39 Hình 0-13: Thanh ghi bit tạo thành từ DFF mắc song song .40 Hình 0-1: Sơ đồ đếm nhị phân bit giản đồ thời gian ngõ 45 Hình 0-2: Sơ đồ đếm BCD 47 Hình 0-3: Giản đồ thời gian đếm BCD 47 Hình 0-4: Sơ đồ đếm lên/xuống 48 Hình 0-1: So sánh phép cộng thập phân phép cộng nhị phân .50 Hình 0-2: Kí hiệu cộng nhị phân .51 Hình 0-3: Sơ đồ cộng 8-bit ghép nối từ mạch cộng nhị phân bit 51 Hình 0-4: Sơ đồ logic mạch cộng nhị phân bit .52 Hình 0-5: Kí hiệu logic giải mã 54 Hình 0-6: Sơ đồ logic giải mã (3 đường thành đường) 55 Hình 0-7: Cấu trúc chân dạng led đoạn 56 Hình 0-8: Led đoạn loại anode chung cathode chung 56 Hình 0-9: Sơ đồ chân kí hiệu IC 74LS47 57 Hình 0-10: Sơ đồ nối IC 74LS47 điều khiển LED đoạn anode chung 57 Trang DANH MỤC CÁC BẢNG Bảng 1.1: Bảng thật cổng logic ngõ vào 16 Bảng 1.2: Bảng thật cổng AND 17 Bảng 1.3: Bảng thật cổng OR 18 Bảng 1.4: Bảng thật cổng XOR ngõ vào .19 Bảng 1.5: Bảng thật cổng NOT 20 Bảng 1.6: Bảng thật cổng NAND ngõ vào 21 Bảng 1.7: Bảng thật cổng logic NOR .21 Bảng 1.8: Bảng thật cổng logic XNOR 22 Bảng 1.9: Bảng thật mạch có 04 ngõ vào 24 Bảng 1.10: Bảng thật trung gian (thành phần) 24 Bảng 1.11: Bảng thật toàn mạch .24 Bảng 2.1: Bảng thật NOR RSFF 32 Bảng 2.2: Bảng thật NAND RSFF .33 Bảng 3.1: Biểu diễn 16 số thập phân 0÷15 dạng BIN, BIN bit HEX 43 Bảng 3.2: Cấu tạo mã BCD 44 Bảng 4.1: Bảng thật cộng nhị phân bit .52 Bảng 4.2: Bảng thật giải mã đơn giản 54 Bảng 4.3: Bảng thật giải mã BCD thành đoạn 57 Trang GIÁO TRÌNH MƠ ĐUN Tên mơ đun: Mạch Logic Số Mã mơ đun: AUTM53104 Vị trí, tính chất, ý nghĩa vai trị mơn học/mơ đun: 3.1 Vị trí: Là mơ đun thuộc mơ đun chun ngành chương trình đào tạo Mơ đun dạy trước môn học thiết bị đo lường sau hồn thành mơn học sở ngành nghề 3.2 Tính chất: Mơ đun trang bị kiến thức cổng logic bản, loại flip-flop, mạch số học mạch ứng dụng ghi dịch, giải mã Người học lắp ráp mạch số sử dụng linh kiện cổng logic (IC), testboard linh kiện hỗ trợ khác 3.3 Ý nghĩa vai trò mô đun: Mục tiêu mô đun 4.1 Về kiến thức: A1 Nhận diện ký hiệu cổng logic lập bảng chân trị vẽ đồ thị thời gian loại cổng logic này; A2 Nhận diện ký hiệu flip-flop mạch chốt; A3 Mô tả cách hoạt động loại flip-flop đếm; A4 Mô tả cách hoạt động loại ghi dịch; A5 Mô tả cách hoạt động mạch số học giải mã 4.2 Về kỹ năng: B1 Lắp ráp mạch logic tổ hợp theo sơ đồ cho sẵn; B2 Lắp ráp đếm dựa flip-flop B3 Xác định chân IC cổng bản, flip-flop, giải mã 4.3 Về lực tự chủ trách nhiệm: C1 Rèn luyện thái độ nghiêm túc, cẩn thận công việc; C2 Tuân thủ nghiêm túc quy định an toàn điện sử dụng linh kiện điện tử làm việc với nguồn điện - 5V - 12V Nội dung mơ đun: 5.1 Chương trình khung Trang Thời gian học tập (giờ) Trong STT Mã MH/MĐ I COMP64002 COMP62004 COMP62008 COMP62010 COMP63006 FORL66001 SAEN52001 II II.1 12 AUTM52101 ELEI53154 AUTM53102 ELEI53011 ELEI53110 13 AUTM53006 14 AUTM53104 10 11 II.2 15 AUTM55005 16 AUTM55107 17 AUTM54108 18 AUTM54109 19 AUTM53110 20 AUTM52112 Tên môn học, mô đun Các môn học chung bắt buộc Chính trị Pháp luật Giáo dục thể chất Giáo dục quốc phòng An ninh Tin học Tiếng Anh An tồn vệ sinh lao động Các mơn học, mô đun chuyên môn ngành, nghề Môn học, mô đun sở An toàn TĐH Điện kỹ thuật Điện tử Khí cụ điện Đo lường điện Bản vẽ thiết bị đo lường Mạch logic số Môn học, mô đun chuyên môn ngành, nghề Thiết bị đo lường Hiệu chuẩn thiết bị đo lường Lắp đặt hệ thống TĐH Lắp đặt hệ thống TĐH Cơ sở điều khiển q trình Đấu nối dây Số tín Tổng số Lý thuyết Thực hành/ thực tập/ thí nghiệm/ tập/ thảo luận Kiểm tra LT TH 23 465 180 260 17 2 75 30 60 41 18 29 10 51 0 4 75 36 35 2 75 120 15 42 58 72 2 30 23 79 1845 602 1170 43 30 20 375 196 159 15 3 3 45 60 60 45 60 14 28 28 28 28 29 29 29 14 29 2 1 1 45 42 3 60 28 29 59 1470 406 1011 28 25 90 56 29 120 28 87 90 28 58 2 90 28 58 2 60 28 29 2 45 14 29 1 Trang Thời gian học tập (giờ) Trong Mã MH/MĐ STT 21 AUTM54113 22 23 AUTM55115 AUTM64125 24 AUTM63117 25 AUTM64118 26 AUTM62119 27 AUTM64020 28 AUTM63221 29 AUTM55222 Tên mơn học, mơ đun Số tín Tổng số Hệ thống điều khiển thủy lực 90 khí nén PLC 120 Vi điều khiển 90 Hệ thống điều khiển phân tán 90 (DCS) Điều khiển 90 trình nâng cao Kiểm tra, chạy thử xử lý lỗi vòng 75 điều khiển Thiết bị phân tích 60 theo dõi Khóa luận tốt 135 nghiệp Thực tập sản xuất 225 Tổng số 102 2310 Lý thuyết Thực hành/ thực tập/ thí nghiệm/ tập/ thảo luận 28 Kiểm tra LT TH 58 2 28 28 87 58 2 28 58 2 28 58 2 14 58 42 14 14 121 0 14 782 209 1430 60 38 5.2 Chương trình Mơ đun Thời gian (giờ) Số TT Nội dung tổng quát Bài 1: Cơ sở kỹ thuật số Bài 2: Mạch nhớ Bài 3: Mạch đếm Bài 4: Mạch số học mạch giải mã Cộng Tổng số Thực hành, Lý thí nghiệm, thuyết thảo luận, tập Kiểm tra LT TH 20 14 14 10 10 12 5 1 60 28 29 1 Điều kiện thực mô đun Trang Hình 0-4: Sơ đồ logic mạch cộng nhị phân bit A 0 0 1 1 NGÕ VÀO B 0 1 0 𝑪𝑪𝑰𝑰𝑰𝑰 1 1 S 1 0 NGÕ RA 𝑪𝑪𝑶𝑶𝑶𝑶𝑶𝑶 0 0 1 Bảng 0.1: Bảng thật cộng nhị phân bit 4.2 Mạch giải mã Mạch số làm việc với số nhị phân Tuy nhiên, thông tin nhị phân thường cần thiết hiển thị dạng thân thiện với người sử dụng Các hình video, hình LCD cách tinh tế hiển thị thông tin nhị phân người vận hành, nhiều trường hợp thiết bị hiển thị loại đắt nên không ứng dụng nhiều Những hình đơn giản, chẳng hạn đèn hiển thị (sáng/không Bài 4: Các mạch số học giải mã Trang 52 sáng) hiển thị số sử dụng trường hợp đủ Các mạch số đặc biệt làm để biến đổi thông tin nhị phân thành dạng thông tin khác, chẳng hạn số thập phân mẫu/mơ hình xếp hiển thị đèn LED Các mạch số loại gọi mạch giải mã với chức biến đổi thông tin nhị phân thành dạng khác Trong mạch số, mạch tổ hợp mạch có trị số ổn định tín hiệu đầu thời điểm phụ thuộc vào tổ hợp giá trị đầu vào thời điểm Trong mạch tổ hợp, trạng thái mạch điện trước thời điểm xét, tức trước có tác động tín hiệu đầu vào, khơng ảnh hưởng đến tín hiệu đầu Đặc điểm cấu trúc mạch tổ hợp cấu trúc nên từ cổng logic Bộ giải mã mạch logic có nhiệm vụ chuyển đổi mã nhị phân đầu vào thành tín hiệu logic đầu tương ứng với mã nhị phân tác động Với 𝑛𝑛 ngõ vào có 2𝑛𝑛 tổ hợp tín hiệu ngõ vào thơng thường có 2𝑛𝑛 ngõ tương ứng với số tổ hợp tín hiệu ngõ vào tác động Với tổ hợp mã đầu vào có đầu tương ứng với biểu diễn mã đầu vào kích hoạt lên mức tích cực, đầu cịn lại mức khơng tích cực 4.2.1 Bộ giải mã (Basic Decoder) Theo định nghĩa, giải mã mạch logic tổ hợp có nhiều ngõ vào nhiều ngõ Tín hiệu ngõ vào luôn số nhị phân Mạch phân tích tổ hợp số ngõ vào để kích hoạt ngõ tương ứng với tổ hợp ngõ vào Hình 4-5 giới thiệu giải mã với ngõ vào (𝐼𝐼0 ÷ 𝐼𝐼2 ) ngõ (𝑂𝑂0 ÷ 𝑂𝑂7 ) để điều khiển trạng thái đèn bảng điều khiển máy Tổ hợp ngõ vào giải mã số nhị phân Bit từ 000 đến 111 (0÷7 tương ứng hệ thập phân) Mạch logic bên phân tích số nhị phân ngõ vào kích hoạt ngõ tương ứng (chỉ kích hoạt ngõ ra) Ví dụ, tổ hợp ngõ vào 011 (3) ngõ 𝑂𝑂3 kích hoạt, nghĩa 𝑂𝑂3 =1, ngõ cịn lại khơng tích cực (vẫn nhận giá trị logic 0) Bài 4: Các mạch số học giải mã Trang 53 Hình 0-5: Kí hiệu logic giải mã NGÕ VÀO 𝑰𝑰𝟐𝟐 0 0 1 1 𝑰𝑰𝟏𝟏 0 1 0 1 𝑰𝑰𝟎𝟎 1 1 NGÕ RA 𝑶𝑶𝟎𝟎 1 1 1 𝑶𝑶𝟏𝟏 1 1 1 𝑶𝑶𝟐𝟐 1 1 1 𝑶𝑶𝟑𝟑 1 1 1 𝑶𝑶𝟒𝟒 1 1 1 𝑶𝑶𝟓𝟓 1 1 1 𝑶𝑶𝟔𝟔 1 1 1 𝑶𝑶𝟕𝟕 1 1 1 Bảng 0.2: Bảng thật giải mã đơn giản Hình 4-6 sơ đồ logic bên mạch giải mã đơn giản đường thành đường Mạch cấu tạo từ ghép nối cổng AND cồng NOT Mạch xây dựng từ việc phân tích bảng thật 4-2 Bài 4: Các mạch số học giải mã Trang 54 Hình 0-6: Sơ đồ logic giải mã (3 đường thành đường) 4.2.2 Mạch giải mã BCD sang LED đoạn hiển thị (IC 7447) LED (Light Emitting Diode) - Diode phát quang Trước hết xem qua cấu trúc loại đèn led đoạn số đèn cấu tạo đoạn led có chung anode (AC) hay cathode (KC); xếp hình số vng (như hình 4-7) ngồi cịn có led đặt làm dấu phẩy thập phân cho số thị; điều khiển riêng biệt không qua mạch giải mã Các chân led xếp thành hàng chân hàng chân A chung hay K chung Thứ tự xếp cho loại trình bày Bài 4: Các mạch số học giải mã Trang 55 Hình 0-7: Cấu trúc chân dạng led đoạn Hình 0-8: Led đoạn loại anode chung cathode chung Để đèn led hiển thị số led tương ứng phải sáng lên, đó, led phải phân cực điện trở khoảng 180 đến 390 ohm với nguồn cấp chuẩn thường 5V IC giải mã có nhiệm vụ nối chân a, b, c, d, e, f g led xuống mass hay lên nguồn (tuỳ A chung hay K chung) Khảo sát 74LS47: Với mạch giải mã ta dùng 74LS47 Đây IC giải mã đồng thời thúc trực tiếp led đoạn loại Anode chung ln có ngõ cực thu để hở khả nhận dòng đủ lớn Sơ đồ chân IC sau: Bài 4: Các mạch số học giải mã Trang 56 Hình 0-9: Sơ đồ chân kí hiệu IC 74LS47 Trong đó: + A, B, C, D ngõ vào dạng mã BCD + RBI ngõ vào xóa gợn sóng + LT ngõ thử đèn + BI/RBO ngõ vào xóa hay ngõ xóa gợn sóng + 𝑎𝑎� ÷ 𝑔𝑔̅ ngõ kích hoạt logic mức D 0 0 0 0 1 NGÕ VÀO C B 0 0 1 1 1 1 0 0 NGÕ RA A 1 1 a b c d e 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 1 1 f 1 0 0 g 1 0 0 0 Số thập phân hiển thị Bảng 0.3: Bảng thật giải mã BCD thành đoạn Lưu ý: Ngoài 10 số từ đến giải mã, mạch giải mã trạng thái khác, không dùng đến Để hoạt động giải mã xảy bình thường chân LT BI/RBO phải mức cao Muốn thử đèn led để led sáng hết kéo chân LT xuống thấp Muốn xố số (tắt hết led) kéo chân BI xuống thấp Hình 0-10: Sơ đồ nối IC 74LS47 điều khiển LED đoạn anode chung Bài 4: Các mạch số học giải mã Trang 57 PHỤ LỤC PHỤ LỤC A: CÁC VI MẠCH CỔNG VÀ FF THÔNG DỤNG Phụ lục Trang 58 Phụ lục Trang 59 PHỤ LỤC B: CÁC VI MẠCH TỔ HỢP THÔNG DỤNG Mạch giải mã (decoder) 2→4, 3→8, 4→16 Mạch cộng nhị phân bit Mạch đệm bit Phụ lục Trang 60 PHỤ LỤC C: CÁC VI MẠCH TUẦN TỰ THÔNG DỤNG Mạch đếm nhị phân bit đồng Các ngõ vào Các ngõ CLR LOAD ENP ENT L x x H L H CLK Chức QA QB QC QD x L L L L Reset x x D C B A H x L Không thay đổi Nhập liệu Không đếm H H L x Không thay đổi Không đếm H H H H Đếm lên Đếm x x x x Không thay đổi Không đếm RCO (Ripple Carry Out) = ENT.QA.QB.QC.QD Mạch đếm lên/xuống đồng nhị phân bit UP Chức DN LOAD CLR H H L Đếm lên H H L Không đếm H H L Đếm xuống H H L Không đếm x x L L Nhập liệu x x x H Reset Mạch đếm mod 10 (mod mod 5) Phụ lục Trang 61 Mạch đếm mod 12 (mod mod 6) Mạch đếm mod 16 (mod mod 8) Thanh ghi dịch PIPO Thanh ghi dịch SIPO Thanh ghi dịch PISO Phụ lục Trang 62 Thanh ghi dịch trái/phải PIPO Mạch chốt liệu bit PHỤ LỤC D: CÁC CÂU HỎI TRẮC NGHIỆM: Câu A B C D Mạch logic: tương đương với cổng logic? Cổng AND Cổng OR Cổng NOT Cổng NOR Câu A Phụ lục Mạch logic tương đương với cổng logic? Cổng NOT Trang 63 B C D Cổng AND Cổng NOR Cổng OR Cho bảng chân trị Câu A B C D Với A, B ngõ vào, bảng chân trị cổng logic AND EX-OR NOR EX-NOR Cho bảng chân trị Câu A B C D Với A, B ngõ vào, bảng chân trị cổng logic AND EX-OR NOR EX-NOR Câu A B C D Số 11011(2) đổi sang hệ thập phân có giá trị bao nhiêu? 27(10) 17(10) 25(10) 37(10) Câu A B C D Số 25(10) đổi sang hệ nhị phân có giá trị bao nhiêu? 11010(2) 11001(2) 11011(2) 10111(2) Câu A B C D JKFF hoạt động chế độ RESET nào? Khi J K khơng kích hoạt Khi J kích hoạt, K khơng kích hoạt Khi J khơng kích hoạt, K kích hoạt Khi J K kích hoạt Phụ lục Trang 64 Câu A B C D Câu A B C D Câu 10 A B C D Phụ lục JKFF hoạt động chế độ SET nào? Khi J K khơng kích hoạt Khi J kích hoạt, K khơng kích hoạt Khi J khơng kích hoạt, K kích hoạt Khi J K kích hoạt Một ghi làm từ …… Các RSFF không đồng Các DFF Các JKFF Các RSFF đồng Hãy cho biết tên gọi loại tín hiệu sử dụng để đồng mạch số với nhau? Tín hiệu phát qua tín hiệu radio (Pulsar) Một mạch đồng (A sync circuit) Tín hiệu xung đồng (clock signals) Cạnh dương (A positive edge) Trang 65 TÀI LIỆU THAM KHẢO − Tài liệu tiếng Việt: [1] Giáo trình Kỹ thuật số, Nguyễn Đình Phú – Nguyễn Trường Duy, Trường Đại Học Sư Phạm Kỹ Thuật Thành Phố Hồ Chí Minh, NXB Đại Học Quốc Gia, 2016 − Tài liệu nước ngoài: [3] Instrumentation Level 4, third edition, NCCER, 2016 [4] Digital Systems Principles & Aplication, 12th edition, Ronald Tocci, Neal S Widmer, Gregory L Moss, PEARSON, 2017 Tài liệu tham khảo Trang 66 ... nghiêm cấm LỜI GIỚI THIỆU Giáo trình Mạch Logic Số dịch biên soạn dành cho sinh viên hệ cao đẳng nghề Sửa chữa thiết bị tự động hóa (SCTBTĐH) Trường Cao Đẳng Dầu Khí thuộc mơn học sở ngành Các... hay mạch tổ hợp 1.4.1 Phân tích mạch logic tổ hợp Hình 1-1 3 ví dụ mạch logic tổ hợp tạo thành từ 03 cổng logic khác Bạn gặp phải mạch sơ đồ mạch thiết bị Ví dụ, máy móc /thiết bị sử dụng mạch logic. .. chương trình: Chương trình mơ đun áp dụng cho nghề sửa chữa thiết bị tự động hố, trình độ trung cấp cao đẳng 8.2 phương pháp giảng dạy mô đun đào tạo: 8.2.1 Đối với giảng viên /giáo viên: − Thiết

Ngày đăng: 23/12/2022, 20:58

Mục lục

    BÀI 1: CƠ SỞ KĨ THUẬT SỐ

    1.1 Công nghệ kĩ thuật số

    1.1.1 Nguồn cấp (Power Supply)

    1.1.2 Mức logic (Logic Level)

    1.1.4 Các ký hiệu (Symbols)

    1.2 Các cổng logic cơ bản

    1.3 Các cổng biến đổi

    1.4 Logic tổ hợp (Mạch tổ hợp)

    1.4.1 Phân tích một mạch logic tổ hợp

    1.4.2 Ví dụ về mạch logic tổ hợp

Tài liệu cùng người dùng

Tài liệu liên quan