Kết thúc đề tài

Một phần của tài liệu Điều khiển robot nhận biết bằng hồng ngoại sử dụng FPGA (Trang 29 - 34)

Nhóm đã thiết kế và chạy thử nghiệm thành công trên KIT CPLD CollRuneer – II 256 TQ144 .Tuy nhiên khó khăn lớn nhất mà nhóm gặp phải chính là khâu thiết kế và làm phần cứng.Do thời gian và số lượng kiến thức có hạn nên chắc chắn đề tài sẽ không tránh khỏi có sai sót.

Xin trân thành cám ơn các thầy cô giáo trong khoa kĩ thuật điện tử đã giúp chúng em trong suốt quá trình học tập và nghiên cứu. Rất mong nhận được những ý kiến đóng góp của các thầy cô để chúng em có thể hoàn thiện hơn kiến thức của mình.

Hà Nội ngày 1 tháng 12 năm 2009 Nhóm sinh viên

PHỤ LỤC – ĐỀ CƯƠNG ĐỀ TÀI

HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG

Khoa Kỹ thuật điện tử 1

===***===

CỘNG HOÀ XÃ HỘI CHỦ NGHĨA VIỆT NAM

Độc lập - Tự do - Hạnh phúc

==o0o==

Hà Nội, ngày 28 tháng 9 năm 2009

ĐỀ CƯƠNG NGHIÊN CỨU KHOA HỌC SINH VIÊN

1. Tên đề tài:

Điều khiển ROBOT nhận dạng bằng hồng ngoại dùng FPGA.

Mã số:21-SV-DT-2009

2. Thuộc lĩnh vực: Thiết kế logic số

3. Mục tiêu, nội dung và kết quả đề tài

a. Mục tiêu:

- Giúp sinh viên làm quen với công tác nghiêu cứu khoa học.

- Làm quen với kỹ thiết kế logic số, phát triển các ứng dụng trên nền FPGA.

b. Nội dung:

- Xây dựng mô hình ROBOT tự hành.

- Thiết kế bộ điều khiển nhận dạng sử dụng hồng ngoại

- Thiêt kế mạch điều khiển cho ROBOT tự hành.

c. Kết quả:

- Báo cáo kết quả đề tài

- Bài báo tham dự hội nghị khoa học SV

- Mô hình ROBOT tự hành.

4. Đơn vị chủ trì đề tài:

Khoa Kỹ thuật Điện tử 1, Học viện Công nghệ Bưu chính Viễn thông.

5. Cơ quan phối hợp (nếu có): 6. Chủ trì đề tài: (adsbygoogle = window.adsbygoogle || []).push({});

- Nguyễn Tuấn Đông – D07KTĐT1

7. Những người tham gia thực hiện:

8. Người hướng dẫn:

- Đỗ Mạnh Hà

9. Sơ lược tình hình nghiên cứu, điều tra trong và ngoài nước:

a. Sơ lược tình hình:

+ Trong nước: Hiện tại đã có một số nghiên cứu về vấn đề này nhưng chưa có kết quả đầy đủ. Chưa ứng dụng vào điều kiện cụ thể Việt Nam.

+ Ngoài nước: Đã có nghiên cứu thành công nhất là trong sinh viên của các trường Đại học nổi tiếng trên thế giới cùng chuyên ngành Điện tử và Kỹ thuật Máy tính.

b. Nhu cầu thực tế và khả năng áp dụng kết quả đề tài:

Đề tài thực hiện một nghiên cứu về giao tiếp ngoại vi ghép nối chip điều khiển.

10. Sản phẩm giao nộp của đề tài Dạng sản

phẩm I Dạng sản phẩm II Dạng sản phẩm III

Mẫu sản phẩm:

Qui trình công nghệ kỹ thuật Chương trình máy tính

Vật liệu: Phương pháp công nghệ Phương pháp, giải

pháp Thiết bị, dụng cụ Đề án qui hoạch Sơ đồ, bảng số liệu Khác a. Kết quả sản phẩm S TT Tên sản phẩm Đ.vị tính Số lượng Chú thích 1 Chương trình demo

S TT Tên sản phẩm và chỉ tiêu chất lượng Đơ n vị Mức chất lượng Chú thích Cần đạt Tham khảo 1

c. Yêu cầu khoa học, kinh tế xã hội đối với sản phẩm (dạng II, III) S

TT

Tên sản phẩm Yêu cầu cụ thể cần

đạt

Chú thích 1 Báo cáo kết quả đề tài Khoa học và đủ nội

dung 2 Báo cáo tóm tắt đề tài đăng

trong kỉ yếu Hội nghị KH SV

Được chấp nhận

11. Tiến độ thực hiện các nội dung

S TT

Nội dung từng bước Thời gian thực hiện

Kết quả cần đạt 1 Xây dựng mô hình

ROBOT tự hành (adsbygoogle = window.adsbygoogle || []).push({});

Tháng 8/2008 Báo cáo nghiên cứu 2 Thiết kế bộ điều khiển

nhận dạng sử dụng hồng ngoại

Tháng 9-

10/2008

Đưa ra mô hình

3 Thiêt kế mạch điều khiển cho ROBOT tự hành Tháng 10- 11/2008 Thiết kế mẫu 12. Bảng dự toán kinh phí S TT

Nội dung các khoản chi Thành tiền

1. Xây dựng và duyệt đề cương nghiên cứu 320.000đ

2. Viết báo cáo kết quả nghiên cứu 500.000đ

3. Hội thảo khoa học 150.000đ

4. Nghiệm thu 350.000đ

5. Chi phí văn phòng phẩm, photo copy, đóng quyển 180.000đ

CHỦ TRÌ ĐỀ TÀI

Nguyễn Tuấn Đông NGƯỜI HƯỚNG DẪN

Đỗ Mạnh Hà

ĐƠN VỊ THỰC HIỆN TRƯỞNG KHOA

TÀI LIỆU THAM KHẢO

1. Slide bài giảng “Lectures VHDL”. Đỗ Mạnh Hà. Học viện Công Nghệ Bưu Chính Viễn Thông.

2. “Thiết kế thiết bị điện tử lập trình sử dụng công nghệ FPGA và CPLD”. PGS. TS Nguyễn Tăng Cường. Học viện Kĩ Thuật Quân Sự.

3. “Giáo trình Điện tử số”. Đỗ Mạnh Hà, Trần Thị Thúy Hà. Học viện Công Nghệ Bưu Chính Viễn Thông.

4. “FPGA Prototyping by VHDL Examples Xilinx Spartan-3 Version.Feb.2008”. Pong P.Chu. Cleveland State University.

5. Các website:

http://dientuvietnam.net; http://www.kythuatvien.com; http://www.picvietnam.com;

Một phần của tài liệu Điều khiển robot nhận biết bằng hồng ngoại sử dụng FPGA (Trang 29 - 34)