Khai báo số nguyên, thời gian, số thực, và thời gian thực

Một phần của tài liệu Thiết kế mạch số với vhdl & verilog pps (Trang 65 - 66)

Chương3 Loại dữ liệu trong Verilog 3.1Khái quát

3.8 Khai báo số nguyên, thời gian, số thực, và thời gian thực

3.8.1Giới thiệu

Thêm vào khả năng mô hình hóa cho phần cứng trong Verilog, ta có thể sử dụng thêm một số biến dữ liệu khác ngoài biến dữ liệu reg. Mặc dù biến dữ liệu reg có thể ñược dùng cho những chức năng tổng quát như ñếm thời gian, lưu giữ sự thay ñổi giá trị của net, biến dữ liệu integer và time thì cung cấp sự thuận lợi và dễ ñọc hiểu hơn trong việc mô tả thiết kế.

3.8.2Integer

3.8.2.1 Giới thiệu

Loại dữ liệu integer là biến có chức năng tổng quát ñược dùng ñể tính toán số lượng. Nó không ñược xem như là thanh ghi trong phần cứng thiết kế. Loại dữ liệu integer gồm 32 bit và nó có thể ñược gán và sử dụng hoàn toàn giống như loại biến dữ liệu reg. Phép gán qui trình (procedural assignment) ñược dùng ñể kích sự thay ñổi giá trị của loại dữ liệu integer.

Những phép tính trên biến dữ liệu integer sẽ tạo ra những kết quả ở dưới dạng bù 2.

Ví dụ 2.16:

Integer i1, i2;

3.8.2.2 Cú pháp

Integer_declaration

Lâm Đức Khải University of Information Technology Page 66

3.8.3Time

3.8.3.1 Giới thiệu

Biến dữ liệu time có ñộ rộng 64 bit và này thường ñược dùng ñể lưu giữ giá trị output của hàm hệ thống $time hoặc ñể tính toán thời gian chạy mô phỏng trong những trường hợp mà ở ñó việc kiểm tra ñịnh thời là bắt buộc hoặc cho những mục ñích dò tìm và phát hiện lỗi của thiết kế trong quá trình mô phỏng.

Loại dữ liệu time có thể ñược gán và sử dụng hoàn toàn giống như loại biến dữ liệu reg. Phép gán qui trình (procedural assignment) ñược dùng ñể kích sự thay ñổi giá trị của loại dữ liệu time

Ví dụ 2.17: time t1, t2;

3.8.3.2 Cú pháp

time_declaration

::= time list_of_register_identifiers;

3.8.4Số thực (real) và thời gian thực (realtime) 3.8.4.1 Giới thiệu

Một phần của tài liệu Thiết kế mạch số với vhdl & verilog pps (Trang 65 - 66)