TIẾN HÀNH THỰC HIỆN THÍ NGHIỆM

Một phần của tài liệu Bài giảng thí nghiệm vi xử lý cđ giao thông vận tải (Trang 65 - 70)

D. Một số lệnh cơ bản khác

B. Viết chương trình điều khiển 8 led đơn

1.3.2 TIẾN HÀNH THỰC HIỆN THÍ NGHIỆM

1. Vẽ sơ đồ mạch hiển thị led đơn trên phần mềm Proteus

2. Viết chương trình điều khiển led đơn chớp tắt 3. Viết chương trình con tạo trễ

1.3.3 BÀI TẬP THÍ NGHIỆM Bài tập 1:

Viết chương trình điều khiển 8 led đơn ở port B 1 điểm tối di chuyển từ RB7 ->RB0, tạo trễ 0.5s ở mỗi trạng thái, xung clock là 8MHz

a. Vẽ sơ đồ nguyên lý mạch hiển thị trên phần mềm Proteus (nếu cần) b. Lập lưu đồ chương trình cho yêu cầu trên

c. Chương trình tham khảo

Sinh viên tự thực hiện yêu cầu này dựa vào chương trình tham khảo ở bài tập mẫu

Bài tập 2:

Viết chương trình điều khiển 8 led đơn ở port C: 2 điểm sáng di chuyển từ ngoài vào trong sau đó di chuyển từ trong ra ngoài. Có tạo trễ 0.3s ở mỗi trạng thái, tần số xung

clock là 20MHz.

a. Vẽ sơ đồ nguyên lý

b. Lập lưu đồ chương trình

c. Viết và thực thi dụng trên phần mềm và nạp trên board thí nghiệm

Bài tập 3:

Viết chương trình điều khiển 8 led đơn ở port B: 2 điểm sáng di chuyển từ RB0 -> RB7

sau đó di chuyển từ RB7 -> RB0. Có tạo trễ 0.4s ở mỗi trạng thái, tần số xung clock là

8MHz

a. Vẽ sơ đồ nguyên lý b. Lập lưu đồ chương trình

c. Viết và thực thi chương trình trên phần mềm và nạp trên board thí nghiệm PIC

Bài tập 4:

Viết chương trình điều khiển 8 led đơn ở port C: 2 điểm tối di chuyển từ trong ra ngoài

sau đó di chuyển từ ngoài vào trong. Có tạo trễ 0.3s ở mỗi trạng thái, tần số xung clock

là 20MHz

a. Vẽ sơ đồ nguyên lý b. Lập lưu đồ chương trình

Bài tập 5:

Viết chương trình điều khiển 8 led đơn ở port B: 2 điểm tối di chuyển từ RB7 -> RB0

sau đó di chuyển từ RB0 -> RB7. Có tạo trễ 0.4s ở mỗi trạng thái, tần số xung clock là

8MHz

a. Vẽ sơ đồ nguyên lý b. Lập lưu đồ chương trình

c. Viết và thực thi chương trình trên phần mềm vànạp trên board thí nghiệm PIC

Bài tập 6:

Viết chương trình điều khiển 8 led đơn ở Port B: sáng dần 1 led bắt đầu từ RB0-> RB7 – tắt hết 8 led rồi lặp lại. Có tạo trễ 0.7s ở mỗi trạng thái, tần số xung clock là 20MHz. a. Vẽ sơ đồ nguyên lý

b. Lập lưu đồ chương trình

c. Viết và thực thi chương trình trên phần mềm và nạp trên board thí nghiệm PIC

Bài tập 7:

Cho sơ đồ điều khiển như Hình 2.2

Hình 2.3 Sơ đồ điều khiển dãy led đơn bằng phím nhấn

Viết chương trình điều khiển 8 led đơn ở Port C: Khi chưa nhấn sw sáng dần 1 led bắt đầu từ RB0-> RB7 –tắt hết 8 led rồi lặp lại; khi sw được nhấn điều khiển điểm sáng di chuyển từ chân RC7->RC0 ( thực hiện trong 1 chu kỳ). Có tạo trễ 0.7s ở mỗi trạng thái, tần số xung clock là 20MHz.

a b c d f e g dp a b c d f e g dp Bài thí nghiệm số 3:

ĐIỀU KHIỂN LED 7 ĐOẠN

Thời lƣợng: 12 giờ

1.1. MỤC TIÊU

- Xác định được cấu trúc và mã hiển thị dữ liệu trên Led 7 đoạn

- Phân biệt được phương pháp quét và phương pháp chốt dữ liệu

- Viết chương trình hiển thịled 7 đoạn dùng vi điều khiển 8 bit và 16 bit

- Biên dịch và sửa lỗi chương trình.

- Đảm bảo an toàn cho người và thiết bị, tự tin trong việc sử dụng và ứng dụng các phần mềm khi lập trình.

1.2. DỤNG VỤ, HỌC CỤ SỬ DỤNG

- Board Kit thí nghiệm Vi Xử Lý.

- Máy tính, phần mềm mô phỏng Proteus, Keil C

- Vi điều khiển 89S52 và PIC 16F877A

1.3. NỘI DUNG

1.3.1 CƠ SỞ LÝ THUYẾT

1.3.1.1. CU TRÚC VÀ MÃ HIN TH D LIỆU TRÊN LED 7 ĐOẠN

Các dạng Led 7 đoạn

- Dạng Led Anode chung:

Hình 3.1: Sơ đồđấu LED 7 ĐOẠN Anode chung

- Đối với dạng Led anode chung, chân COM phải có mức logic 1 và muốn sáng

Led thì tương ứng các chân a – f, dp sẽở mức logic 0.

- Dạng Led Cathode chung:

‒ Đối với dạng Led Cathode chung, chân COM phải có mức logic 0 và muốn sáng Led thì tương ứng các chân a –f, dp sẽ ở mức logic 1.

Bảng trạng thái Led 7 đoạn loại Anode chung

a là MSB, dp là LSB a là LSB, dp là MSB

Bảng trạng thái cho Led 7 đoạn loại Cathode chung:

a là MSB, dp là LSB a là LSB, dp là MSB

Theo kit vi xử lý tại trường cao đẳng giao thông vận tải led 7 đoạn đơn được thiết lập theo bảng mã sau:

Hình 3.4: Bảng mã LED 7 ĐOẠN trên board DTVT03

Một phần của tài liệu Bài giảng thí nghiệm vi xử lý cđ giao thông vận tải (Trang 65 - 70)

Tải bản đầy đủ (PDF)

(137 trang)