Ngôn ngữ mô tả phần cứng VHDL

Một phần của tài liệu Thiết kế module xử lý trong thiết bị vô tuyến cấu hình mềm dựa trên công nghệ FPGA (Trang 53 - 56)

VHDL là ngôn ngữ mô tả phần cứng cho các mạch tích hợp tốc độ rất cao, là một loại ngôn ngữ được phát triển dùng cho chương trình VHSIC

(Very High Speed Integraded Circuit) của bộ quốc phòng Mỹ. VHDL rất có ý nghĩa trong thiết kế các hệ thống số, nó đang được dùng để thiết kế các đặc điểm kỹ thuật, mô phỏng và tổng hợp cho các hệ thống điện tử hiện đại một các rộng rãi và mang tính trừu tượng cao. VHDL hỗ trợ các đặc điểm kỹ thuật ngay từ giai đoạn đầu cả quá trình thiết kế và cấu trúc đặc điểm kỹ thuật ở các giai đoạn hực hiện về sau.

1. Các chức năng của VHDL trong hệ thống số

- Tạo mô phỏng

Một hệ thống với mô tả thiết kế sử dụng chức năng mô phỏng VHDL để xác định cách thức hoạt động. Để mô phỏng cần đưa vào bộ mô phỏng tập các thông số kích thích. Chương trình mô phỏng dùng các kích thích để mô tả đầu vào tại các thời điểm xác định và tạo ra các đáp ứng từ các mạch thiết kế.

Chức năng này rất hiệu quả để xác định kết quả thiết kế hệ thống số, một bộ mô phỏng có thể đợc dùng ở bất cứ giai đoạn nào trong quá trình thiết kế. Việc mô phỏng ở mức cao sẽ cho các thông tin về chức năng của hệ thống sau khi thiết kế một cáhc nhanh chóng, song thông tin về chức năng các mạch logic và thời gian chưa được chi tiết. Ở mức thấp việc mô phỏng sẽ chạy chậm hơn nhưng thông tin về chức năng mạch và thời gian sẽ chi tiết hơn. VHDL cho phép có thể thiết kế với mức độ hỗn hợp để có thể quan sát chi tiết một số module có giới hạn thời gian.

-Tổng hợp

netlist tương tự như cách một trình biên dịch C cung cấp liên kết. Người thiết kế sử dụng đầu vào thiết kế dạng text hay đồ hoạ để tạo ra mô hình hoạt động HDL không bao gồm bất kỳ tham chiếu nào đến các phần tử logic. Các sơ đồ trạng thái, các mô tả đường dẫn dữ liệu đồ hoạ, các bảng sự thật, các mẫu RAM/ROM và các giản đồ mức cổng có thể sử dụng cùng với một mô tả ngôn ngữ mô tả phần cứng.

2. Một số ưu điểm của VHDL

-Tính phổ biến: VHDL được phát triển dưới sự bảo trợ của chính phủ Mỹ và hiện nay đã được trở thành tiêu chuẩn của IEEE. VHDL được sự hỗ trợ của nhiều nhà sản xuất thiết bị cũng như nhiều nhà cung cấp công cụ thiết kế mô phỏng hệ thống.

-Khả năng hỗ trợ nhiều công nghệ và phương pháp thiết kế. Ví dụ phương pháp thiết kế từ trên xuống hay từ dưới lên dựa vào các thư viện sẵn có. VHDL cũng hỗ trợ cho nhiều loại công cụ xây dựng mạch như sử dụng công nghệ đồng bộ hay không đồng bộ, sử dụng ma trận lập trình được hay mảng ngẫu nhiên.

-Tính độc lập với công nghệ: VHDL hoàn toàn độc lập với công nghệ chế tạo phần cứng. Một mô tả hệ thống dùng VHDL thiết kế ở mức cổng có thể được chuyển thành các bản tổng hợp mạch khác nhau tuỳ thuộc công nghệ chế tạo phần cứng, do đó mới ra đời nó có thể được áp dụng ngay cho các hệ thống đã thiết kế.

-Khả năng mô tả mở rộng: VHDL cho phép mô tả hoạt động của phần cứng từ mức hệ thống cho đến các mức cổng. VHDL có khả năng mô tả hoạt động của hệ thống trên nhiều mức nhưng chỉ sử dụng một cú pháp chặt chẽ thống nhất cho mọi mức.

nhận, nên một mô hình VHDL có thể chạy trên mọi mô hình mô tả đáp ứng được tiêu chuẩn VHDL. Các kết quả mô tả hệ thống có thể được trao đổi giữa các nhà thiết kế sử dụng công cụ thiết kế khác nhau nhưng cùng tuân theo tiêu chuẩn VHDL.

-Khả năng hỗ trợ thiết kế mức lớn và khả năng sử dụng lại các thiết kế: VHDL được phát triển như một ngôn ngữ lập trình bậc cao, vì vậy nó có thể được sử dụng để thiết kế một hệ thống lớn với sự tham gia của một nhóm nhiều người.

3. Cấu trúc mô hình hệ thống mô tả bằng ngôn ngữ VHDL

Thông thường một mô hình VHDL bao gồm các phần sau:

-Thực thể (Entity). Trong hệ thống số thường được thiết kế theo sự xếp chồng các module, mỗi module ttương ứng với một thực thể thiết kế trong VHDL. Thực thể dùng để giao tiếp với môi trường bên ngoài của phần tử nó gồm các khai báo cổng đầu vào và cổng đầu ra.

-Kiển trúc (Architecture). Mỗi khai báo thực thể phải đi kèm với ít nhất một khia báo kiến trúc tương ứng. Khia báo kiếm trúc bao gồm các khai báo về tín hiệu, các pần tử bên trong hay các hàm các thủ tục mô tả hoạt động của hệ thống. Có hai cách mô tả kiến trúc: mô hình hoạt động

(behabiour) hay mô hình cấu trúc (structure), ó thể kết hợp cả hai cách mô tả kiến trúc.

Mô tả kiến trúc theo mô hình hoạt động: Mô hình hoạt động mô tả các hoạt động của hệ thống (hệ thống đáp ứng với các tín hiệu vào như thế nào và đưa kết quả gì tới đầu ra).

Mô tả kiến trúc theo mô hình cấu trúc: Mô hình cấu trúc của một phần tử hay hệ thống có thể bao gồm nhiều cấp cấu trúc bắt đầu từ một cổng logic đơn giản đến xây dựng mô tả cho một hệ thống hoàn thiện. Thực chất

của việc mô tả theo mô hình cấu trúc là mô tả các phần tử con bên trong hệ thống và sự kết nối các phần tử đó.

-Cấu hình (Configuration). Mỗi Entity bao gồm nhiều kiến trúc khác nhau. Trong quá trình thiết kế có thể thử nghiệm với sự biến đổi khác nhau của thiết kế bằng việc chọn lựa kiểu kiến trúc khác nhau. Định cấu hình có thể được dùng để cung cấp sự thay thế nhanh thể hiện của phần tử trong một thiết kế dạng cấu trúc.

-Các gói (Packages). Mục đích của các gói là tập hợp các phần tử có thể bị chia sẻ bởi hai hay nhiều đơn vị thiết kế. Nó chứa các kiểu dữ liệu, các hằng, các chương trình con có thể dùng chung giữa các thiết kế.

-Kiểm tra hoạt động (Testbench). Một trong những nhiệm vụ rất quan trọng là kiểm tra bản mô tả thiết kế. Kiểm tra một mô hình VHDL được thực hiện bằng cách quan sát hoạt động của nó trong khi mô phỏng và giá trị thu được có thể đem so sánh với yêu cầu thiết kế. Môi trường kiểm tra có thể coi như một mạch kiểm tra ảo. Môi trường kiểm tra sinh ra các tác động lên bản thiết kế và cho phép quan sát hoặc so sánh kết quả hoạt động của bản mô tả thiết kế. Thường các bản mô tả đều cung cấp chương trình thử, nhưng ta có thể tự xây dựng chương trình thử.

Mạch thử thực chất là sự kết hợp của tổng hợp nhiều thành phần. Nó gồm 3 thành phần: VHDL đã qua kiểm tra, nguồn dữ liệu và bộ quan sát. Hoạt động của mô hình VHDL được kích thích bởi các nguồn dữ liệu và kiểm tra tính đúng đắn thông qua bộ quan sát.

Một phần của tài liệu Thiết kế module xử lý trong thiết bị vô tuyến cấu hình mềm dựa trên công nghệ FPGA (Trang 53 - 56)