Cấu trúc một mô hình hệ thống mô tả bằng VHDL

Một phần của tài liệu đồ án “tích hợp thuật toán mật mã DES trên FPGA” (Trang 41 - 43)

VHDL là một ngôn ngữ mô tả phần cứng, nó được sử dụng để mô tả các hệ thống số. Các hệ thống số này có thể rất đơn giản, chẳng hạn như

một cổng logic nhưng cũng có thể rất phức tạp, chẳng hạn như một hệ thống điện tử hoàn chỉnh. Trong VHDL, các hệ thống này được "trừu tượng hóa" và được gọi là các thực thể (entities). Một thực thể X, khi được sử dụng trong một thực thể Y khác sẽ trở thành một hợp phần (component) của thực thể Y. Vì thế, một hợp phần cũng là một thực thể, phụ thuộc vào vị trí mà chúng ta mô tả.

Để mô tả một thực thể, VHDL cung cấp 5 kiểu kiến trúc cơ sở khác nhau, gọi là các đơn vị thiết kế (design units). Chúng bao gồm:

- Các thực thể (entity)

- Các kiến trúc (architecture) - Các gói (package)

- Các cấu hình (configuration) - Các thư viện (library)

Một thực thể được mô tả sẽ bao gồm một phần khai báo thực thể và ít nhất một thân kiến trúc. Phần khai báo thực thể cho thấy cái nhìn từ bên ngoài của một thực thể, chẳng hạn như tên của các chân tín hiệu vào ra. Phần thân kiến trúc bao gồm sự mô tả bên trong của một thực thể, chẳng hạn như một tập hợp các hợp phần được kết nối với nhau tạo thành kiến trúc của một thực thể, hay như một tập hợp các câu lệnh đồng thời hoặc tuần tự cho biết hoạt động của thực thể. Mỗi kiểu mô tả có thể áp dụng trong các phần thân kiến trúc khác nhau hoặc sử dụng hỗn hợp trong một thân kiến trúc.

Phần khai báo cấu hình được sử dụng để tạo ra cấu hình cho một thực thể. Nó chỉ ra sự ràng buộc của một phần thân kiến trúc từ rất nhiều thân kiến trúc có thể được kết hợp với thực thể. Nó cũng có thể cho biết sự

ràng buộc của các hợp phần sử dụng trong thân kiến trúc được chọn đến các thực thể khác. Một thực thể có thể có nhiều cấu hình khác nhau.

Một phần khai báo gói sẽ đóng gói hoàn chỉnh một tập hợp các khai báo liên quan với nhau, chẳng hạn như các khai báo kiểu, kiểu con, các khai báo chương trình con ... mà chúng có thể được chia sẻ trong hai hoặc nhiều đơn vị thiết kế. Phần thân gói chứa định nghĩa của chương trình con đã được khai báo trong phần khai báo gói.

Một phần của tài liệu đồ án “tích hợp thuật toán mật mã DES trên FPGA” (Trang 41 - 43)