TẠI SAO ĐỊNH CẤU HÌNH (configuration)?

Một phần của tài liệu Tìm hiểu ngôn ngữ DHVL.doc (Trang 74 - 75)

- TOP là một generic.

7.2.TẠI SAO ĐỊNH CẤU HÌNH (configuration)?

Đây là hai lý do chính:

1. Đơi khi nĩ cĩ thể tiện lợi để đặc tả nhiều phép chiếu (view) cho một thực thể đơn (single entity) và sử dụng một trong các phép chiếu này để mơ phỏng. Điều này cĩ thể được thực hiện dễ dàng bằng các đặc tả một thân kiến trúc (architecture body) cho từng phép chiếu (view) và dùng một cấu hình (configuration) để liên kết (bind) thân kiến trúc (architecture body) đã mơ tả. Ví dụ, ở đây cĩ thể cĩ ba thân kiến trúc (architecture body), gọi là FA_BEH, FA_STR, và FA_MIXED, tương ứng với một thực thể (entity) FULL_ADDER. Một kiến trúc (architecture) bất kỳ cĩ thể được chọn để mơ phỏng bằng cách đặc tả một cấu hình (configuration) thích hợp. 2. Tương tự trường hợp trên, cĩ thể mơ tả bằng cách kết nối (associate) một thành phần (component) với một tập thực thể (entity) bất kỳ. Khai báo thành phần (component declaration) cĩ thể gồm cĩ tên thành phần (component) và tên, kiểu, số lượng cổng và các generic khác từ các cổng này của thực thể của nĩ.

Ví dụ: khai báo một thành phần (component) sử dụng trong thiết kế: component OR2

port(A,B: in BIT; Z: out BIT); end component;

và các thực thể mà thành phần trên cĩ thể bị buộc (bound) là: entity OR_GENERIC is

port(N: out BIT; L,M: in BIT); end OR_GENERIC;

entity OR_HS is

Port(X,Y: in BIT; Z: out BIT); end OR_HS;

Tên thành phần, tên thực thể, cũng như tên cổng và thứ tự của chúng là khác nhau. Trong trường hợp chúng ta cĩ thể dùng thực thể (entity) OR_HS cho thành phần (component) OR2, và trong trường hợp khác, là thực thể OR_GENERIC. Điều này cĩ thể được thực hiện bằng các đặc tả một cấu hình phù hợp cho thành phần (component). Lợi thế là ở chỗ khi các thành phần được sử dụng trong thiết kế, cho các thành phần và các cổng giao tiếp của chúng cĩ thể được sử dụng tên tùy ý, và sau đĩ cĩ thể được buộc (bound) tới các thực thể (entity) trước khi mơ phỏng (simulation).

Vì vậy một cấu hình được dùng để nối từng cặp như sau:

- Một thân kiến trúc (architecture body) tới khai báo thực thể (entity declaration) của nĩ.

- Một thành phần (component) với một thực thể (entity).

Chú ý: một cấu hình khơng cĩ bất kỳ một ngữ nghĩa mơ phỏng nào kết hợp với nĩ; nĩ chỉ đặc tả một thực thể mức cao nhất (top-level entity) được tổ chức như thế nào trong giới hạn (term) của các thực thể mức thấp hơn (lower-level entities) bằng cách đặc tả sự ràng buộc (binding) giữa các thực thể. Ngơn ngữ cung cấp hai cách để biểu diễn sự ràng buộc này:

1. Bằng cách dùng đặc tả cấu hình 2. Bằng cách dùng khai báo cấu hình

Một phần của tài liệu Tìm hiểu ngôn ngữ DHVL.doc (Trang 74 - 75)