CÁC ĐẶC TÍNH VÀ CÔNG NGHỆ MỚI

Một phần của tài liệu BÀI TIỂU LUẬN Đề tài số 3 TÌM HIỂU KIẾN TRÚC VI XỬ LÝ PENTIUM M Giảng Viên Hướng Dẫn,TS. PHẠM VĂN CƯỜNG của HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG (Trang 32)

1. Các đặc trưng chủ yếu của Intel Pentium M

 Hỗ trợ kiến trúc Intel® Dynamic Execution

 Hiệu năng cao, nhân tiết kiệm năng lượng

 Cache lệnh chính 32KB và cache dữ liệu 32KB

 1MB cache L2 với kiến trúc truyền tải tiên tiến

 Dự đoán rẽ nhánh nâng cao và lấy dữ liệu trước

 SSE2 cho phép thực thi các ứng dụng đa phương tiện bao gồm đồ 3D, mã hóa/giái mã video và tốc độ nhận biết nhanh

 400 MHz, đồng bộ tài nguyên bus hệ thống để cải thiện hiệu năng truyền tải dữ liệu bằng cách truyền dữ liệu 4 lần mỗi xung nhịp

 Các tính năng quản lý năng lượng tiên tiến trong đó công nghệ SpeedStep nâng cao

 Hỗ trợ cho công nghệ MMXTM

 Cơ chế hợp nhất vi lệnh và quản lý stack tiên tiến giảm thiểu số lệnh mà bộ xử lý phải xử lý

 Kiến trúc dự đoán rẽ nhánh tiên tiến giảm đáng kể tình trạng miss

 Xử lý dấu phảy động với độ chính xác gấp đôi, đáp ứng các yêu cầu về độ chính xác cao của các chương trình ứng dụng như khoa học, kỹ thuật, kỹ thuật , xử lý hình học như đường đi của tia sáng

Bộ xử lý Intel PM hỗ trợ các trạng thái AutoHALT, Stop Grant, Deep Sleep và Deeper Sleep

TÌM HIỂU KIẾN TRÚC VI XỬ LÝ PENTIUM M III. Các đặc tính công nghệ mới

GROUP 3 – D11VT6 33

Trạng thái bình thường

Trạng thái AutoHALT: Là trạng thái năng lượng thấp khi bộ xử lý thi hành lệnh

HALT.Một bộ quản lý ngắt quãng (SMI) sẽ trả về thi hành cho trạng thái bình thường hoặc trạng thái AutoHALT. Trong lúc ở trạng thái AutoHALT, bộ xử lý sẽ tiến hành xử lý snoop trên bus hệ thống và nó sẽ khóa cung cấp ngắt trên bus hệ thống

Trạng thái HALT/ Grant Snoop: Bộ xử lý sẽ đáp ứng snoop hoặc thực hiện ngắt

trên bus hệ thống khi ở trong trạng thái Stop – grant hoặc Auto HALT, trong khi xử lý một snoop hoặc lệnh ngắt, bộ xử lý đi vào trạng thái HALT/Grant Snoop, bộ xử lý sẽ duy trì tình trạng đó cho tới khi snoop trên bus hệ thống đã được đáp ứng hoặc lệnh ngắt đã bị khóa, sau đó nó trở về trạng thái Stop Grant hoặc AutoHALT tương ứng

Trạng thái ngủ:Là trạng thái năng lượng thấp mà bộ xử lý duy trì ngữ cảnh của

nó, duy trì PPL(phase locked loop) và dừng mọi clock bên trong. Trạng thái ngủ chỉ được gọi từ trạng thái Stop-Grant. ở trạng thái Stop grant, bộ xử lý sẽ rơi vào trạng thái ngủ khi tín hiệu SLP# được xác định. Không có việc truyền hoặc xác

TÌM HIỂU KIẾN TRÚC VI XỬ LÝ PENTIUM M III. Các đặc tính công nghệ mới

GROUP 3 – D11VT6 34

nhận tín hiệu nào được phép trong bus hệ thống khi bộ xử lý đang trong trạng thái ngủ.

Trạng thái ngủ sâu : Là trạng thái năng lượng rất thấp mà bộ xử lý có thể đạt tới

trong khi vẫn duy trì được ngữ cảnh. Trong khi ở trạng thái này, bộ xử lý có khả năng đáp ứng thực hiện snoop hoặc tín hiệu ngắt quãng. Không có việc thực hiện tín hiệu nào được cho phép trong hệ thống bus trong khi bộ xử lý đang ở trong trạng thái ngủ sâu.

Trạng thái ngủ sâu hơn: Là trạng thái năng lượng thấp nhất mà bộ xử lý có thể

đạt được. Trạng thái này về mặt chức năng thì cũng giống với trạng thái ngủ sâu nhưng ở mức điện áp thấp hơn.

2. Công nghệ SpeedStep nâng cao(Enhanced SpeedStep

Technology)

Công nghệ SpeedStep được tạo ra để tăng tuổi thọ của pin. Nó được giới thiệu đầu tiên trong các bộ vi xử lý của Pentium III. Phiên bản đầu tiên của công nghệ này cho phép các CPU có thể chuyển giữa hai tần số clock một cách động. Chế độ tần số thấp (LFM), chế độ cho phép thời lượng sống của pin lớn nhất, và chế độ tần số cao (HFM), chế độ cho phép chạy CPU tại tốc độ lớn nhất. CPU có hai tỉ lệ nhân clock. Công nghệ SpeedStep nâng cao có một vài cấu hình clock và điện áp khác giữa LFM (cố định là 600 MHz) và HFM.

Pentium M được trang bị công nghệ speed step nâng cao, cho phép vi xử lý chuyển giữa nhiều tần số và điện áp, việc này cho phép tối ưu hóa việc tiết kiệm năng lượng, việc chuyển đổi giữa các trạng thái được phần mềm điều khiển, không giống các thế hệ trước. Sau đây là các đặc tính chủ đạo của công nghệ này:

- Đa tần số/điện áp cung cấp khả năng tối ưu hóa hiệu năng tại mức năng lượng thấp nhất

- Việc lựa chọn điện áp/tần số được điều khiển bởi phần mềm bằng cách ghi vào bộ xử lý MSR do đó loại trừ được việc phụ thuộc vào chipset.

Nếu tần số đích cao hơn tần số hiện tại, Vcc được đẩy lên bằng cách thay thế các giá trị mới cho pin VID sau đó PLL khóa cho tần số mới. Nếu tần số đích thấp hơn tần số hiện tại, PLL khóa tần số mới và Vcc được thay đổi thông qua cơ chế VID pin. Sự chuyển tiếp phần mềm được chấp nhận ở bất kỳ thời điểm nào. Nếu một sự chuyển tiếp trước đó đang được tiến hành, sự chuyển tiếp mới bị trì hoãn cho đến khi nó được hoàn thành

TÌM HIỂU KIẾN TRÚC VI XỬ LÝ PENTIUM M III. Các đặc tính công nghệ mới

GROUP 3 – D11VT6 35

- Độ trễ chuyển tiếp thấp và số lượng trong mỗi giây

- Không có bus chủ quyết định việc vô hiệu hóa các yêu cầu trước quá trình chuyển đổi và không có bộ xử lý dàn cache cần thiết

- Chế độ Intel thermal Monitor được cải thiện

Khi cảm biến nhiệt chỉ ra rằng nhiệt độ đã tăng quá cao, bộ xử lý có thể tự động chuyển sang tần số/ điện áp thấp hơn được chỉ định bởi một phần mềm lập trình được MSR và ngược lại.

Ví dụ: bảng cấu hình clock và điện áp cho 1.6 GHz Pentium M dựa trên công nghệ 130nm: Điện áp Clock 1.484 V 1.6 GHz 1.42 V 1.4 GHz 1.276 V 1.2 GHz 1.164 V 1 GHz 1.036 V 800 MHz 0.956 V 600 MHz

TÌM HIỂU KIẾN TRÚC VI XỬ LÝ PENTIUM M IV. Kết luận

IV, KẾT LUẬN

1. So sánh đánh giá

1.1, Nhân PM(kernel)

Nhân PM được chỉnh sửa từ nhân PPro trước đây với việc tập trung vào tiết kiệm năng lượng. Rất nhiều nỗ lực đã cho vào việc tắt bớt các phần của đơn vị thực thi và các bus khi nó không được sử dụng đến. Mang lại lợi ích ít tốn điện và không nóng chip.

Việc giải mã lệnh bị giới hạn bởi luật: mỗi lần giải mã tối đa chỉ sinh ra được 6 vi lệnh trong mỗi chu kỳ clock. Nếu có lệnh sinh phức tạp giải mã ra nhiều hơn 6 lệnh thì phải mất thêm hai hoặc vài chu lỳ clock nữa để giải mã, và các lệnh khác không thể giải mã song song. Tìm nạp và giải mã lệnh là hai điểm còn yếu trong kiến trúc PM

Các đơn vị thực thi được bó gọn trong vòng 5 cổng thực thi, một thiết kế khá giống với P4. Cổng 0 và 1 giành cho ALU và các phép tính toán khác, trong khi cổng 2,3,4 dành cho các thao tác với bộ nhớ và tính toán địa chỉ. Các đơn vị thực thi được phân phối bằng nhau ở cổng 0 và 1 và rất nhiều vi lệnh có thể đi vào bất kỳ cổng nào trong hai cổng, khiến cho việc giữ cho hai cổng luôn làm việc dễ dàng hơn so với thiết kế trong P4

Các lệnh số nguyên SIMD khá hiệu quả với một ALU trên mỗi cổng trong hai cổng thực thi và độ trễ trên mỗi cái chỉ là một chu kỳ clock. Độ trễ dấu phảy động thì khá chậm. PM sinh ra ít vi lệnh hơn kiến trúc P4 nên có nhiều lệnh thực hiện hiệu quả hơn. Trong khi cả hai thiết kế cho phép 3 vi lệnh đi qua trong một chu kỳ. Việc giảm số vi lệnh một phần do cách hợp lệnh và một bộ cộng riêng cho con trỏ ngăn xếp. PM chỉ hiệu quả với các thanh ghi MMX và các thanh ghi dấu phảy động vì cơ chế hợp lệnh không làm việc với các thanh ghi XMM. PM có giới hạn đọc 3 thanh ghi trong một chu kỳ clock từ các file trong thanh ghi.PM không hỗ trợ các tập lệnh 64 bit.

1.2, Các thay đổi của intel

Mở rộng cửa sổ lệnh

Một trong những thay đổi quan trọng của Intel là việc mở rộng đáng kể cửa sổ lệnh của nhân P6 cho phép bộ xử lý theo dõi nhiều lệnh hơn các thế hệ trước của nó. Việc mở rộng này đồng nghĩa với việc kích thước của ROB và RS được gia tăng theo, một cách

TÌM HIỂU KIẾN TRÚC VI XỬ LÝ PENTIUM M IV. Kết luận

GROUP 3 – D11VT6 37

tổng quan thì các bộ đệm của bộ xử lý sâu hơn( ví dụ bộ đệm lưu trữ có thể được đào sâu để lưu trữ được nhiều hơn, và MOB(Memory Reorder Buffer) cũng được đào sâu hơn).

Việc gia tăng độ sâu của bộ đệm đòi hỏi kiến trúc P M phải gia tăng chiều dài của pipeline. PM cho thêm một vài giai đoạn vào trong pipeline của P 3, càng nhiều giai đoạn thì cửa sổ lệnh càng phải lớn.

Hợp các vi lệnh và các giai đoạn thêm vào trong pipeline

ROB và RS phải theo dõi các vi lệnh để chúng được đặt trở lại vào chương trình sau khi bị thực hiện lỗi. Để theo dõi một số lượng lớn các vi lệnh, P6 cần một số lượng lớn các cổng vào trong ROB và RS, gây tốn trasitor và tiêu hao nhiều năng lượng. PM cắt giảm số cổng vào ROB và RS bằng cách hợp nhất các vi lệnh có liên quan đến nhau và đưa chúng vào một cổng vào ROB và RS. Các lệnh hợp này vẫn phải được thi hành riêng rẽ như khi chúng chưa được hợp nhất .

Intel cam kết rằng việc hợp các vi lệnh giúp tăng 5% hiệu năng trong các mã số nguyên và 9% trong các mã dấu phảy động.

Đơn vị thực hiện stack

PM còn giới thiệu một số thau đổi cho P3, một trong số đó là đơn vị thực thi stack cho việc xử lý cập nhật cho thanh ghi ESP.

Tóm lại PM có pipeline dài hơn, dự đoán rẽ nhánh tiến bộ hơn và cửa sổ lệnh được mở rộng hơn gợi nhớ đến kiến trúc P4 nhưng PM không tập trung một cách thái quá vào tốc độ đồng hồ như P4

2. Kết luận chung

Pentium M tiên phong cho sự khởi đầu mới và cải tiến căn bản của Intel. Nó được tối ưu hóa hiệu quả việc quản lý năng lượng,giúp kéo dài thời gian sử dụng pin cua laptop.Khả năng chạy với lượng điện tiêu thụ thấp trung bình và nhiệt độ thấp hơn nhiều so với bộ vi xử lý máy để bàn. Pentium M chạy ở tốc độ đồng hồ thấp hơn so với phiên bản máy tính xách tay của Pentium 4. nhưng với hiệu suất tương tự - 1.6 GHz Pentium M thường có thể đạt được hoặc thậm chí vượt qua hiệu suất của một số 2,4 GHz Pentium 4- M Pentium M 740 đã được thử nghiệm để thực hiện lên đến khoảng 7.400 MIPS và 3,9 GFLOPS(sử dụng SSE2)

Pentium M kết hợp thực hiện giữa nhân Pentium III và giao diện bus tương ứng của Pentium IV, hướng dẫn giải mã/phát hành được cải tiến, cải tiến dự đoán rẽ nhánh, hỗ trợ tập lệnh SSE2, cache lớn hơn, bộ nhớ cache thứ cấp ngốn năng lượng sử dụng phương pháp chỉ chuyển mạch trên phần được truy cập.

TÌM HIỂU KIẾN TRÚC VI XỬ LÝ PENTIUM M IV. Kết luận

GROUP 3 – D11VT6 38

Một phương pháp tiết kiệm năng lượng nữa bao gồm tần số xung nhịp và điện áp trong nhân tự động biến đổi, cho phép Pentium M điều chỉnh xung nhịp khi hệ thống nhàn rỗi để tiết kiệm năng, sử dụng công nghệ SpeedStep nâng cao.

Vi xử lý Pentium M có thiết kế điện nhiệt tối đa là 5-27W phụ thuộc vào từng mẫu, hướng đến sử dụng trong các dòng laptop

Khái niệm kiên trúc thế hệ thứ 6, được gọi là hợp nhất lệnh giải mã. Trên pentium M, mỗi bộ giải mã nối hai lệnh đã giải mã thành một, Chúng chỉ được tách ra khi được thực thi, tại tầng thực thi. Pentium M cũnng giới thiệu công nghệ Speedstep nâng cao (Enhanced speedstep technology).

Dựa trên nền tảng Pentium M thì Inel củng rất thành công khi tung ra nền tảng Centrino cho máy tính xách tay, các máy tính xách tay thời này sử dụng bộ xử lý Pentium M tên mã Banias (130nm) và Dothan 90nm với mức điện năng thiêu thụ tối đa chỉ 27W.

Pentium M là một bộ xử lý hội tụ đầy đủ những ưu điểm của cả 2 dòng Pentium 4 và Pentium 4M, vì vậy máy tính xách tay sử dụng bộ vi xử lý này vừa có sức mạnh tính toán của Pentium 4 vừa tiết kiệm pin như Pentium 4M.

Tuy là Pentium III cải biên ( chữ M có nghĩa là “Modification” tức “cải biên” ) nhưng Pentium M đạt sức mạnh tương đương với Pentium 4-M có xung nhịp đồng hồ cao hơn 400MHz. Thí dụ như Pentium M 1.3GHz thì có sức mạnh tương đương như Pentium 4 M 1.7GHz.

TÌM HIỂU KIẾN TRÚC VI XỬ LÝ PENTIUM M Tài liệu tham khảo

TÀI LIỆU THAM KHẢO

1. http://www.intel.com

2. http://diendandien.com

3. http://en.wikipedia.org/

4. Phạm Hoàng Duy, Bài Giảng Kỹ thuật Vi xử lý, Học viện công nghệ bưu chính viễn thông.

Một phần của tài liệu BÀI TIỂU LUẬN Đề tài số 3 TÌM HIỂU KIẾN TRÚC VI XỬ LÝ PENTIUM M Giảng Viên Hướng Dẫn,TS. PHẠM VĂN CƯỜNG của HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG (Trang 32)

Tải bản đầy đủ (PDF)

(39 trang)