0

thiet ke den led 7 doan

Báo cáo đồ án thiết kế đèn led khối 5x5x5

Báo cáo đồ án thiết kế đèn led khối 5x5x5

Điện - Điện tử

... trở mảng 4 ,7 kΩ Dùng để kéo nguồn cho port IC ổn áp 78 05 Được dùng mạch ổn áp nguồn 5V cấp cho vi điều khiển Tụ điện 100uF Led đục cao 5mm màu xanh dương Được dùng để tạo thành khối led Led đục ... khiển Led đơn sử dụng nhiều ngành quảng cáo LED - Ngày ứng dụng 3D ngày rộng rãi, việc nghiên cứu tìm hiểu led 3D đặt móng giúp cho hiểu công nghệ 3D II Cơ sở lý thuyết 1.1 Giới thiệu Led Cube ... PSEN E A /V P P R ST AT89S52 U LM 78 05 J1 VC C 34 33 32 31 30 29 28 27 21 22 23 24 25 26 27 28 R R R R R R R R 10 2 2 2 2 2 2 2 2 0 0 0 0 10 11 12 13 14 15 16 17 R R R R R R R R 1 1 1 1 2 2 2 2...
  • 18
  • 1,609
  • 12
Thiết kế mạch đo điện áp 1 chiều trong dải từ + 5V đến +24V hiển thị kết quả trên LED 7 đoạn

Thiết kế mạch đo điện áp 1 chiều trong dải từ + 5V đến +24V hiển thị kết quả trên LED 7 đoạn

Điện - Điện tử - Viễn thông

... khien led sbit led1 =P2^4; //hien thi dien ap duoc bang led thanh, sbit led2 =P2^5; / /led1 noi voi P2.4 ;led2 noi voi P2.5 sbit led3 =P2^6; / /led3 noi voi P2.6 sbit led4 =P2 ^7; / /led4 noi voi P2 .7 //khai ... for(i=0;i
  • 20
  • 2,665
  • 17
Thiết kế bộ đo tần số hiển thị bằng led 7 đoạn

Thiết kế bộ đo tần số hiển thị bằng led 7 đoạn

Điện - Điện tử - Viễn thông

... chốt địa có tần số 1/6 tần số dao động vi điều khiển.Tín hiệu ALE dùng phép vimạch chốt bên 74 373 ,74 573 chốt byte địa thấp khỏi bus đa hợp địa chỉ/dữ liệu (Port 0) Chân /EA(External Access) : ... TH Bit P3.0 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3 .7 M K H A O V N Port 0(P0.0 – P0 .7) : Port gồm chân,ngoài chức xuất nhập ,port bus liệu địa (AD0 – AD7),chức sử dụng 8051 giao tiếp với thiết bị có ... với thiết bị có kiến trúc Bus vi mạch nhớ… Port (P1.0 – P1 .7) : có chức xuất nhập theo bit theo byte.Bên cạnh chân P1.5 , P1.6 , P1 .7 dùng để nạp ROM theo chuNn ISP , chân P1.0 P1.1 dùng cho...
  • 5
  • 2,058
  • 38
thiết kế bộ đo tần số hiển thị bằng led 7 đoạn

thiết kế bộ đo tần số hiển thị bằng led 7 đoạn

Cơ khí - Vật liệu

... động sáng tắt led led đơn nó, liệu xuất điều khiển led đoạn thường gọi "mã hiển thị led đoạn" Có hai kiểu mã hiển thị led đoạn: mã dành cho led đoạn có Anode(cực +) chung mã dành cho led đoạn có ... 1.Khái quát chung led Led có cấu tạo bao gồm led đơn có dạng xếp theo hình có thêm led đơn hình tròn nhỏ thể dấu chấm tròn góc dưới, bên phải led led đơn led đoạn có Anode(cực +) Cathode(cực -) nối ... Công Nghiệp Hà Nội 17 CN CĐT _K6 Đồ án môn Vi điều khiển GVHD: Vũ Thị Thu Hương Vì led đoạn chứa bên led đơn, kết nối cần đảm bảo dòng qua led đơn khoảng 10mA-20mA để bảo vệ led Nếu kết nối với...
  • 33
  • 1,299
  • 3
Tiểu luận Thiết kế mô hình Khóa điện tử hiển thị 6 led 7 đoạn docx

Tiểu luận Thiết kế mô hình Khóa điện tử hiển thị 6 led 7 đoạn docx

Điện - Điện tử - Viễn thông

... #define H4 P1 _7 #define led6 P2_2 #define led5 P2_3 #define led4 P2_4 #define led3 P2_5 #define led2 P2_6 #define led1 P2 _7 #define dung P3_6 #define sai P3 _7 char key; long int number_key,key_true; ... = led_ code[tramnghin]; led6 =0; delay(); led6 =1; P0 = led_ code[chucnghin]; led5 =0; delay(); led5 =1; P0 = led_ code[nghin]; led4 =0; delay(); led4 =1; P0 = led_ code[tram]; led3 =0; delay(); led3 ... number_key =0; key_true = 123456; dung =0; sai =1; while(1) { scan_key(); ghep_so(); ht1(number_key); if (set ==1) { ghep_so(); key_true = number_key; set =0; } if (enter ==1) { if(number_key == key_true)...
  • 17
  • 678
  • 1
Thiết kế bộ đo và khống chế nhiệt độ hiển thị bằng led 7 đoạn

Thiết kế bộ đo và khống chế nhiệt độ hiển thị bằng led 7 đoạn

Điện - Điện tử - Viễn thông

... donvi=nhiet_do%10; led0 =1; led1 =led2 =led3 =0; P0=M[chuc]; delay(100); led1 =1; led0 =led2 =led3 =0; P0=M[donvi]; delay(100); led2 =1; led0 =led1 =led3 =0; P0=0x9c; delay(100); led3 =1; led0 =led1 =led2 =0; P0=0xc6; ... P3.3/INT1 P3.4/T0 P3.5/T1 P3.6/WR P3 .7/ RD 39 38 37 36 35 34 33 32 21 22 23 24 25 26 27 28 10 11 12 13 14 15 16 17 D5 R2 R1 D3 LED- BLUE LED- GREEN LED- YELLOW LED- RED D2 10k 10k AT89C52 VIN+ VINADC0804 ... #include sbit led0 =P2^0; sbit led1 =P2^1; sbit led2 =P2^2; sbit led3 =P2^3; sbit led_ do=P2^4; //nhiet moi truong < nhiet khong che sbit led_ vang=P2^5; //nhiet moi truong = nhiet khong che sbit led_ xanh=P2^6;...
  • 24
  • 1,017
  • 1
Thiết kế mạch đo điện áp xoay chiều hiển thị kết quả trên LED 7 đoạn

Thiết kế mạch đo điện áp xoay chiều hiển thị kết quả trên LED 7 đoạn

Điện - Điện tử - Viễn thông

... động sáng tắt led đơn nó, liệu xuất điều khiển led đoạn thường gọi "mã hiển thị led đoạn" Có hai kiểu mã hiển thị led đoạn: mã dành cho led đoạn có Anode(cực +) chung mã dành cho led đoạn có Cathode(cực ... sáng tắt led đơn, led sáng tín hiệu đặt vào chân mức Nếu led đoạn có Cathode(cực -) chung, đầu chung nối xuống Ground (hay Mass), chân lại dùng để điều khiển trạng thái sáng tắt led đơn, led sáng ... b để điều khiển led b Tương tự với chân led lại 2.6 Kết nối với Vi điều khiển Ngõ nhận tín hiệu điều khiển led đoạn có đường, dùng Port Vi điều khiển để điều khiển led đoạn Như led đoạn nhận liệu...
  • 22
  • 2,381
  • 20
Thiết kế mạch đo khoảng thời gian hiển thị kết quả trên LED 7 đoạn

Thiết kế mạch đo khoảng thời gian hiển thị kết quả trên LED 7 đoạn

Điện - Điện tử - Viễn thông

... P3.3/INT1 P3.4/T0 P3.5/T1 P3.6/WR P3 .7/ RD LED1 LED2 LED3 LED4 a b c d e 13 f 14 g 17 18 LED2 21 22 23 24 25 26 27 28 11 D0 D1 D2 D3 D4 D5 D6 D7 Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7 12 15 16 19 a2 b2 c2 d2 e2 f2 ... 07 7F 6F 77 B C D E F - 01111100 00111001 01011110 01111001 01110001 01000000 7C 39 5E 79 71 40 2.2.2.Giao tiếp Vi điều khiển với nhiều led đoạn : Nếu kết nối Port Vi điều khiển với led đoạn ... làm cho led đoạn thứ hiển thị số 1, led đoạn thứ hai thị số 5, led đoạn thứ thị số 4, led lại không thị Đầu tiên OFF tất led đoạn Kế tiếp xuất mã hiển thị led đoạn để hiển thị số 1, ON led đoạn...
  • 22
  • 981
  • 0
Thiết kế mạch đo nhiệt độ dùng PT100 hiển thị kết quả trên LED 7 đoạn

Thiết kế mạch đo nhiệt độ dùng PT100 hiển thị kết quả trên LED 7 đoạn

Điện - Điện tử - Viễn thông

... để nâng dòng lên đủ kéo cho LED sáng Em chọn IC đệm 74 245 Khi đưa liệu để hiển thò tất LED nhận thời điểm cho phép LED nhận liệu nên phải có mạch giải mã để chọn LED 2.2 SƠ ĐỒ NGUN LÝ 2.2.1 Khối ... D2 76 60 R26 4558/SO 3- D1 -5V + BT3 OUT OSC LV -5V CHAN2 R21 R18 D3 C11 Q7 A1015 Q8 A1015 R28 V+ C10 + C7 NC C+ C- GND BT2 C9 + + C8 + AC12V +5V - VCC 78 L05 gnd + Q5 D468 R26 R23 R20 +5V R 27 PT100-2 ... PD4 VCC DGND PB6/X1 PB7/X2 PD5 PD6 PD7 PB0 PC5/SCL PC4/SDA PC3 PC2/ADC2 PC1/ADC1 PC0/ADC0 GND AVREF AVCC PB5/SCK PB4/MISO PB3/MOSI PB2 PB1 28 27 26 25 24 23 22 21 20 19 18 17 16 15 RESET TXD TXD-S...
  • 16
  • 4,245
  • 39
thiết kế bộ hẹn giờ cho một thiết bị hiện thị trên 8 led 7 đoạn

thiết kế bộ hẹn giờ cho một thiết bị hiện thị trên 8 led 7 đoạn

Điện - Điện tử - Viễn thông

... dụng led kép gồm led đơn gắn led gọi MPX4-CA 12 Trng HCN H Ni Khoa in t - để hiển thị led Nguyên lý hiển thị quét led: để điều khiển cho n led ... nguồn cho led đờng dây liệu chung cho tất led( đờng không cần dấu chấm) Việc hiển thị đợc thực cách lần lợt cấp nguồn cho led đa số liệu tơng ứng led đờng dây liệu Nh thực chất thời điểm có led hoạt ... hen cho rơle hiển thị led đoạn I Các thiết bị sử dụng mạch: Rơle: sử dụng rơle 12 vôn DC để kích mở cho rơle này, cần transistor mở cho rơle này, sử dụng C828 để kích mở Role Led thanh: dùng để...
  • 21
  • 1,237
  • 2
thiết kế đồng hồ số hiển thị bằng 8 led 7 đoạn

thiết kế đồng hồ số hiển thị bằng 8 led 7 đoạn

Điện - Điện tử - Viễn thông

... sử dụng led kép gồm led đơn gắn led gọi MPX4-CA để hiển thị Nguyên lý hiển thị quét led: đa điện áp vào led đa liệu liệu,tất hiển thị led nối chung bus liệu bit,muốn sáng led đa liệu bus đồng ... hay P3 .7( chân số 17) :đa tín hiệu đọc liệu vào nhóm tín hiệu địa chỉ,dữ liệu -Cổng vào,ra địa chỉ/dữ liệu P0 hay P0.0-P0 .7: (chân39-32):dùng trao đổi thông tin liệu D0-D7 đa địa thấp (A0-A7) theo ... nguồn riêng cho katot cua led đó,ở mạch hiển thị led đoạn,để điều khiển cho led đoạn, ta sử dụng đờng dây điều khiển để cấp nguồn cho led đờng dây liệu chung cho tất led( đờng không cần dấu chấm)...
  • 27
  • 2,253
  • 7
thiết kế mô hình voltmet điện tử , hiển thị bằng led  7 đoạn

thiết kế mô hình voltmet điện tử , hiển thị bằng led 7 đoạn

Điện - Điện tử - Viễn thông

... delay_us(100); led3 =1; led1 =led2 =led4 =0; P0=font1[donvi];//font khong co dau dp delay_us(100); led4 =1; led1 =led2 =led3 =0; P0=font[phan1]; delay_us(100); } } } //ham tat led void tat _led( void) { led1 =led2 =led3 =led4 =0; ... khien led sbit led1 =P2^4; //hien thi dien ap duoc bang led thanh, sbit led2 =P2^5; / /led1 noi voi P2.4 ;led2 noi voi P2.5 sbit led3 =P2^6; / /led3 noi voi P2.6 sbit led4 =P2 ^7; / /led4 noi voi P2 .7 //khai ... tren led7 for(i=0;i
  • 21
  • 919
  • 8
thiết kế mạch đo và khống chế nhiệt độ môi trường hiển thị bằng led 7 đoạn

thiết kế mạch đo và khống chế nhiệt độ môi trường hiển thị bằng led 7 đoạn

Điện - Điện tử - Viễn thông

... P0=M[donvi]; delay(100); led2 =1; led0 =led1 =led3 =0; P0=0x9c; delay(100); led3 =1; led0 =led1 =led2 =0; P0=0xc6; delay(100); } if(nhiet_do=100) { led0 =led1 =led2 =led3 =0; P0=0xff; delay(100); ... P1 .7 P3.0/RXD P3.1/TXD P3.2/INT0 P3.3/INT1 P3.4/T0 P3.5/T1 P3.6/WR P3 .7/ RD 39 38 37 36 35 34 33 32 21 22 23 24 25 26 27 28 10 11 12 13 14 15 16 17 D5 R2 R1 D3 LED- BLUE LED- GREEN LED- YELLOW LED- RED ... { chuc=nhiet_do/10; donvi=nhiet_do%10; } for (k=0;k
  • 24
  • 949
  • 0
thiết kế và thi công mạch nguồn ổn áp 5a có điều chỉnh ,bảo vệ quá tải và ngắn mạch ,hiển thị ngõ ra trên led 7 đoạn

thiết kế và thi công mạch nguồn ổn áp 5a có điều chỉnh ,bảo vệ quá tải và ngắn mạch ,hiển thị ngõ ra trên led 7 đoạn

Điện - Điện tử - Viễn thông

... A, SO _LED CJNE A,GH _LED, KK MOV SO _LED, RS _LED MOV A, SO _LED KK: MOV DPTR,#QUET MOVC A,@A+DPTR MOV P1,#0FFH MOV P0,A MOV R1,SO _LED MOV A,DATA_VS ADD A,R1 MOV R1,A MOV A,@R1 MOV DPTR,#MALED7 MOVC ... MOVC A,@A+DPTR MOV P1,A CLR P1 .7 INC SO _LED SETB TR0 RETI MALED7: DB 20H ,79 H,44H,50H,99H,92H,82H,0F8H,80H,90H,0FFH,9EH ; (0,1,2,3,4,5,6 ,7, 8,9) QUET: DB 0,0FDH,0FBH,0F7H,0FEH DELAYCC: MOV R4,#250 ... dòng tổng led đoạn Page | SVTH : Trần Ngọc Chức Đồ Án Môn Học GVHD : Đậu Trọng Hiển 330 Q A1015 4K7 5V  Ở dùng quét led nên dòng qua điện trở đến led đoạn 10ma Vcc = Vled + I * R Vcc − Vled 5−2...
  • 28
  • 2,527
  • 6
dùng flipflop thiết kế bộ đếm 8 bit có chức năng mr,load,updown, hiển thị led 7 đoạn

dùng flipflop thiết kế bộ đếm 8 bit có chức năng mr,load,updown, hiển thị led 7 đoạn

Điện - Điện tử - Viễn thông

... đầu a,b,c,d,e,f,g đem kích thích led đoạn ic giải m 74 LS 47 có ic 74 ls2 47, 54ls 47 với nguyên lý hoạt động nh 74 LS 47 Bảng trạngthái IC 74 LS 47 Các đầu vào Q0 Q1 Q2 Q3 0 0 0 0 0 1 0 1 1 0 1 1 0 0 ... 4543 ta khảo sát IC giải mã tiêu biểu IC 74 ls 47 74ls48 a, Khảo sát IC 74 ls 47 : - IC74LS 47 chuyển đổi mã BCD thành khuông dạng phù hợp với theo hệ 10 LED có A chung Khi đầu vào LAMP TEST thấp ... cực mức cao (dụng cho loại Led chung Ktốt) Một số IC giải mã đoạn đầu tích cực mức thấp: SN74LS 47, SN74LS2 47 Một số IC giải mã đoạn đầu tích cực mức cao: SN74LS48, SN74LS248, 4511, 4543 ta khảo...
  • 58
  • 2,020
  • 9
thiết kế một mạch giải mã cho led 7 đoạn sử dụng các cổng lôgic

thiết kế một mạch giải mã cho led 7 đoạn sử dụng các cổng lôgic

Điện - Điện tử - Viễn thông

... cng logic phc hn, IC 74 LS1 47 l mch mó hoỏ u tiờn 10 ng sang ng, nú ó c tớch hp sn tt c cỏc cng logic nú Kớ hiu ca 74 LS1 47 nh hỡnh 2.1.5 bờn di: IC74LS1 47 Bng s tht ca 74 LS1 47 SV thực hiện: 51 ... rộng rãi ngời ta sản xuất loại IC họ LM78xx để thuận tiện việc sử dụng * Những dạng seri 78 xx LA7805 IC ổn áp 5V LA7808 IC ổn áp 8V LA7809 IC ổn áp 9V LA7812 IC ổn áp 12V Đây dòng cho điện áp ... bờn cua IC 74 ls 47: 2.4.4 IC ổn áp Mạch điện sử dụng nguồn 5V DC với yêu cầu nguồn ổn định nên ta chọn IC ổn áp họ 78 xx 78 xx dòng IC dùng để ổn định điện áp dơng đầu SV thực hiện: 27 Lớp: Đồ án...
  • 68
  • 6,618
  • 7
thiết kế mạch đo khoảng thời gian giữa hai sự kiện có hiển thị trên  led 7 đoạn

thiết kế mạch đo khoảng thời gian giữa hai sự kiện có hiển thị trên led 7 đoạn

Điện - Điện tử - Viễn thông

... phân ) 3F 06 5B 4F 66 6D 7D 07 7F 6F 77 7C 39 5E 79 71 40 1.3 Giao tiếp Vi điều khiển với nhiều led đoạn -Nếu kết nối Port Vi điều khiển với led đoạn tối đa kết nối led đoạn Mặt khác kết nối ... đếm lên đơn vị LAP: CLR LED1 ; tắt Led 12 CLR LED2 ; tắt led2 MOV P2,SAVE1; đưa saver1 vào P2 SETB LED1 ; bật led hiển thị CALL DELAY; gọi chương trình trể 10uS CLR LED1 CLR LED2 MOV P2,SAVE2; đưa ... làm cho led đoạn thứ hiển thị số 1, led đoạn thứ hai thị số 5, led đoạn thứ thị số 4, led lại không thị Đầu tiên OFF tất led đoạn Kế tiếp xuất mã hiển thị led đoạn để hiển thị số 1, ON led đoạn...
  • 13
  • 839
  • 1
đề tài  thiết kế mạch đo và hiển thị nhiệt độ môi trường ra led 7 đoạn

đề tài thiết kế mạch đo và hiển thị nhiệt độ môi trường ra led 7 đoạn

Điện - Điện tử - Viễn thông

... 00111001 01011110 01111001 01110001 01000000 16 Mã hiển thị led đoạn dạng thập lục phân 3F 06 5B 4F 66 6D 7D 07 7F 6F 77 7C 39 5E 79 71 40 SV: Huỳnh Tiến Việt –K16ECD2 Đồ án chuyên ngành GVHD: ... P0=0xff; led_ chuc =led_ dv =led_ do =led_ do_c=1; } /////////////////hien thi led/ ///////////////////// void hien_thi _led( ) { unsigned char i; i=nhiet_do/10; P0=maled[i]; led_ chuc=0; delay (7) ;tat_chong_lem(); ... i=nhiet_do%10; P0=maled[i]; led_ dv=0; delay (7) ;tat_chong_lem(); ////////////////////////////////////////////// P0 =led[ 0]; led_ do=0; delay (7) ;tat_chong_lem(); P0 =led[ 1]; led_ do_c=0; delay (7) ;tat_chong_lem();...
  • 32
  • 1,080
  • 4

Xem thêm