... phỏng 8 ĐỒ ÁN MÔN H C TỔNG HỢP HỆ ĐIỆN C Tên Đồ án môn h c : Tổng hợp hệ điện c Ngành h c : Điện tự động c ng nghiệp Khoa : Điện – Điện tử Hình th c trình bày : Quyển A4, >=20 trang và c c bản ... một chiều kích từ đ c lập - Đưa ra c u tr c điều khiển t c độ quay với hai vòng phân c p - Tổng hợp c c mạch vòng :mạch vòng dòng điện, mạch vòng t c độ - Mô hình mô phỏng. Kết quả mô phỏng 8 ĐỒ ... dịch chuyển cho động c một chiều - Tính chọn c c bộ điều khiển - Mô phỏng đáp ứng trên Simulink với c c nhiễu tải kh c nhau 28 ĐỀ 30: “Xây dựng hệ điều khiển bám t c độ cho động c một chiều...
Ngày tải lên: 25/03/2014, 10:57
...
Ngày tải lên: 06/04/2014, 14:02
Tổng hợp các bài tập mẫu hay viết bằng ngôn ngữ mô tả phần cứng VHDL
... Với mạch tổ hợp sau: Hãy viết mã VHDL với c c c ch sau (không thiết kế riêng mạch giải mã, mà chỉ c i đặt hàm F): 1) Lệnh đồng thời với phép gán dùng c c toán tử logic 2) Lệnh đồng thời WHEN-ELSE ... song (c n gọi là bộ đếm đồng bộ) với xung nhịp vào CLK (kích c nh). Mạch c ngõ reset tích c c thấp reset_n. Hãy viết mã VHDL với a) Mô hình c u tr c với component JKFF c sẵn. b) C c lệnh ... nhau cho c c c ch: TD: Với khai báo c a c ch 1: library ieee; use ieee.std_logic_1164.all; entity ONES_CNT_EX1 is port ( A : in std_logic_vector(2 downto 0); C : out std_logic_vector(1...
Ngày tải lên: 30/05/2014, 22:55
Tài liệu ĐỒ ÁN MÔN HỌC TỔNG HỢP HỆ ĐIỆN CƠ pptx
... =0,03183 Đầu ra c a máy phát t c có thêm mạch l c RC để l c c c sóng điều hoà c tần số cao .Ta c thể chọn c c thông số R và C thích hợp để c hằng số thời gian l c T = 0,001 (s). Hàm truyền c a đối ... thì điều chỉnh đ c t c độ không tải c a hệ thống c n độ c ng đ c tính c đ c giữ nguyên. b . Đ c điểm c a hệ F-Đ C c chỉ tiêu chất lợng c a hệ truyền động F-Đ về c bản tơng tự nh c c chỉ tiêu ... hơn và c n điều chỉnh sâu hơn, ổn định t c độ tốt hơn thì phải thay máy phát F bằng c c nguồn áp máy điện kh c nh c c máy điện khuếch đại (MKĐ) và c c c phản hồi nâng cao chất lợng. C c đ c điểm...
Ngày tải lên: 12/12/2013, 12:15
Tài liệu Đồ án môn học:Trí Tuệ Nhân Tạo - Đề tài: tổng quan về mạng nơ ron và các ứng dụng. docx
... kh c trong th c tế c i đặt). Tập hợp c c tín hiệu đầu ra c a c c neuron trong m c đầu ra c a mạng tạo nên đáp ứng toàn c c của mạng đối với c c vector đầu vào đ c cung c p bởi c c nút nguồn c a ... vào cho m c thứ ba, và nh c vậy cho phần c n lại c a mạng. Về c bản, c c neuron trong mỗi m c của mạng c c c đầu vào c a chúng là c c tín hiệu đầu ra c a chỉ m c đứng liền tr c nó (điều này c ... cao c a tín hiệu đầu vào. Khả năng c c neuron ẩn rút ra đ c c c thống kê b c cao đ c biệt c giá trị khi m c đầu vào c kích th c lớn. C c nút nguồn trong m c đầu vào c a mạng cung c p c c phần...
Ngày tải lên: 20/12/2013, 17:15
Đồ án môn hoc tổng hợp hệ điện cơ luận văn, đồ án, đề tài tốt nghiệp
...
Ngày tải lên: 14/05/2014, 21:27
Đồ án môn học tổng hợp hệ điện cơ
... đồ án c a em không tránh khỏi những thiếu sót. Em rất mong đ c sự chỉ bảo, góp ý c a c c thầy ,c giáo c ng c c bạn để bản đồ án c a em đ- c hoàn thiện hơn. Em xin chân thành c m ơn c c thầy c ... c u hết s c cấp thiết. Sau gần 4 năm h c tập và nghiên c u ở trờng, em đà đ c làm quen với c c môn h c thu c ngành . Để áp dụng lý thuyết với th c tế trong h c kỳ này chúng em đ c giao đồ án ... c p cho sơ đồ chỉnh lu . Trong sơ đồ chỉnh lu c u 3 pha thì c ng không c n sử dụng biến áp nếu nguồn cung c p c điện áp phù hợp với yêu c u sơ đồ và không yêu c u c ch ly giữa mạch động lực...
Ngày tải lên: 04/06/2014, 09:37
Thuyết minh tính toán đồ án môn học kết cấu bê tông cốt thép sàn suờn BTCT toàn khối có bản dầm
Ngày tải lên: 01/11/2012, 09:31
Thuyết minh tính toán đồ án môn học kết cấu bê tông cốt thép sàn sườn btct toàn khối có bản dầm
Ngày tải lên: 01/03/2013, 17:08
HƯỚNG DẪN VIẾT ĐỒ ÁN MÔN HỌC ĐẠI HỌC KỸ THUẬT CÔNG NGHỆ TP. HCM
Ngày tải lên: 02/10/2013, 19:07
Bạn có muốn tìm thêm với từ khóa: