Luận văn thiết kế xây dựng hệ thống quang báo giao tiếp với bàn phím máy tính PS 2

60 4 0
Luận văn thiết kế xây dựng hệ thống quang báo giao tiếp với bàn phím máy tính PS 2

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

LỜI MỞ ĐẦU Với phát triển không ngừng khoa học công nghệ, sống người ngày trở nên tiện nghi đại Điều đem lại cho nhiều giải pháp tốt hơn, đa dạng việc xử lý vấn đề tưởng chừng phức tạp gặp phải sống Việc ứng dụng thành tựu khoa học kỹ thuật đại tất lĩnh vực phổ biến toàn giới, thay dần phương thức thủ công , lạc hậu ngày cải tiến đại hơn, hoàn mỹ Cùng với phát triển chung đó, nước ta mạnh mẽ tiến hành công công nghiệp hóa đại hóa đất nước để theo kịp phát triển nước khu vực giới Trong lĩnh vực điện tử ngày đóng vai trị quan trọng việc phát triển kinh tế đời sống người Sự phổ biến đóng góp khơng nhỏ tới phát triển tất ngành sản xuất, giải trí, năm gần đặc biệt lĩnh vực giải trí, quảng cáo có phát triển mạnh mẽ với nhiều hình thức, phương pháp tiếp cận, quảng bá chia sẻ thông tin đại tồn diện Với lịng đam mê nghiên cứu, phân tích đặc tính chức linh kiện, IC áp dụng kiến thức học với hướng dẫn giảng viên phụ trách để xây dựng nên mơ hình quang báo kết hợp với bàn phím hex hiển thị led ma trận Trong thời gian ngắn thực đề tài cộng với kiến thức nhiều hạn chế, nên tập đồ án khơng tránh khỏi thiếu sót, em mong đóng góp ý kiến thầy bạn sinh viên Mangh■■ng Luôn 123doc Th■a thu■n l■icam s■ tr■ h■u k■t s■ nghi■m t■im■t d■ng s■website mang kho m■i th■ m■ l■i d■n CH■P vi■n nh■ng cho ■■u kh■ng ng■■i NH■N quy■n chia dùng, l■ CÁC s■l■i v■i và■I■U t■t công h■n mua nh■t 2.000.000 ngh■ bán KHO■N cho tàihi■n ng■■i li■u TH■A tài th■ hàng li■u dùng hi■n THU■N ■■u ■ t■t Khi ■■i, Vi■t c■ khách b■n l■nh Nam Chào online hàng v■c: Tác m■ng tr■ khơng tài phong thành b■n khác chun ■■n thành tíngì d■ng, v■i so nghi■p, viên 123doc v■i cơng c■a b■n hồn ngh■ 123doc g■c h■o, thơng B■n và■■ n■p có tin, cao th■ ti■n ngo■i tính phóng vào ng■, Khách trách tài to,kho■n nhi■m thu nh■ c■a ■■i hàng tùy123doc, v■i ý cót■ng th■b■n d■ ng■■i dàng s■ dùng ■■■c tra c■u M■c h■■ng tàitiêu li■u nh■ng hàng m■t■■u quy■n cáchc■a l■i123doc.net sau xác,n■p nhanh ti■n tr■ chóng thành website th■ vi■n tài li■u online l■n nh■t Vi■t Nam, cung c■p nh■ng tài li■u ■■c khơng th■ tìm th■y th■ tr■■ng ngo■i tr■ 123doc.net Nhi■u event thú v■, event ki■m ti■n thi■t th■c 123doc luôn t■o c■ h■i gia t■ng thu nh■p online cho t■t c■ thành viên c■a website Mangh■n Luôn Th■a Xu■t Sau Nhi■u 123doc h■■ng phát thu■n l■i event cam s■ nh■n m■t tr■ t■ h■u k■t s■ thú nghi■m t■i ýxác n■m t■■ng m■t d■ng v■, s■ nh■n website mang event kho m■i ■■i, t■o t■ th■ m■ l■i c■ng ki■m ■■ng d■n 123doc CH■P vi■n nh■ng cho ■■u ■■ng ti■n h■ kh■ng ng■■i NH■N ■ã quy■n th■ng thi■t chia t■ng ki■m dùng, l■ CÁC s■ th■c s■ l■i b■■c v■i ti■n vàchuy■n ■I■U t■t công h■n mua 123doc online kh■ng nh■t 2.000.000 ngh■ bán KHO■N sang b■ng cho tài ■■nh hi■n ng■■i li■u ph■n tài TH■A tài v■ th■ li■u hàng t■o li■u thơng dùng tríhi■n THU■N hi■u c■ c■a ■■u ■ tin t■t h■i Khi ■■i, qu■ Vi■t xác c■ khách gia b■n nh■t, minh l■nh Nam t■ng Chào online hàng uy tài v■c: l■nh thu Tác m■ng tín kho■n tr■ nh■p khơng tài phong v■c cao thành b■n email nh■t tài online khác chuyên ■■n li■u thành tínb■n Mong cho d■ng, v■i so nghi■p, viên kinh ■ã t■t 123doc 123doc.net! v■i mu■n công ■■ng c■a c■ doanh b■n hoàn mang ngh■ 123doc ký g■c online thành v■i h■o, Chúng l■i thông B■n 123doc.netLink cho viên Tính ■■ n■p có tơi tin, c■ng c■a cao th■ ■■n cung ti■n ngo■i tính website phóng ■■ng th■i vào c■p ng■, Khách trách xác tài ■i■m D■ch xã to,kho■n th■c nhi■m h■i thutháng V■ nh■ m■t s■ c■a (nh■ ■■i hàng ■■■c tùy ngu■n 5/2014; 123doc, v■i ■■■c ý cóg■i t■ng th■ tài 123doc v■ mô nguyên b■n d■ ng■■i ■■a t■ dàng s■ v■■t d■■i tri dùng ■■■c ch■ tra th■c m■c ■ây) email c■u M■c h■■ng quý 100.000 cho tài b■n tiêu báu, li■u b■n, nh■ng ■ã hàng phong m■t l■■t tùy ■■ng ■■u quy■n cách truy thu■c phú, ky, c■a c■p ■a l■i b■n vào 123doc.net m■i d■ng, sau xác, vuingày, n■p lòng “■i■u nhanh giàu ti■n s■ ■■ng tr■ giá Kho■n chóng h■u thành tr■ nh■p 2.000.000 website ■■ng Th■a th■ email vi■n th■i Thu■n c■a thành mong tài v■ li■u viên mu■n S■ online ■■ng D■ng click t■o l■n ký, D■ch ■i■u vào nh■t l■t link ki■n V■” vào Vi■t 123doc top sau cho Nam, 200 ■ây cho ■ã cung các (sau g■iwebsite c■p users ■âynh■ng ■■■c cóph■ thêm tài bi■n g■i thu li■u t■t nh■t nh■p ■■c T■it■i khơng t■ng Chính Vi■tth■i th■ Nam, v■y ■i■m, tìm t■123doc.net th■y l■chúng tìm ki■m tơi th■ racóthu■c ■■i tr■■ng th■nh■m c■p top ngo■i 3nh■t ■áp Google tr■ ■KTTSDDV ■ng 123doc.net Nh■n nhu c■u ■■■c theo chiaquy■t danh s■ tài hi■u li■udo ch■t c■ng l■■ng ■■ng vàbình ki■mch■n ti■n online website ki■m ti■n online hi■u qu■ uy tín nh■t Lnh■n 123doc Sau Th■a Xu■t h■■ng phát thu■n cam nh■n m■t t■k■t s■ t■i ýxác n■m t■■ng d■ng s■ nh■n website mang ■■i, t■o t■l■i c■ng ■■ng d■n 123doc CH■P nh■ng ■■u ■■ng h■ NH■N ■ã quy■n th■ng chia t■ng ki■m CÁC s■s■ l■i b■■c ti■n vàchuy■n ■I■U t■t mua online kh■ng nh■t bán KHO■N sang b■ng cho tài ■■nh ng■■i li■u ph■n tài TH■A v■ li■u hàng thông dùng tríTHU■N hi■u c■a ■■u tin Khi qu■ Vi■t xác khách nh■t, minh Nam Chào hàng uy tài l■nh Tác m■ng tín kho■n tr■ phong v■c cao thành b■n email nh■t tàichuyên ■■n li■u thành b■n Mong v■i nghi■p, viên kinh ■ã 123doc 123doc.net! mu■n ■■ng c■a doanh hoàn mang 123doc kýonline v■i h■o, Chúng l■ivà 123doc.netLink cho Tính ■■ n■p tơi c■ng cao ■■n cung ti■n tính ■■ng th■i vào c■p trách xác tài ■i■m D■ch xãkho■n th■c nhi■m h■itháng V■ m■t s■ c■a (nh■ ■■i ■■■c ngu■n 5/2014; 123doc, v■i ■■■c g■i t■ng tài 123doc v■ mô nguyên b■n ng■■i ■■a t■s■ v■■t d■■i tri dùng ■■■c ch■ th■c m■c ■ây) email M■c h■■ng quý 100.000 cho b■n tiêu báu, b■n, nh■ng ■ã hàng phong l■■t tùy ■■ng ■■u quy■n truy thu■c phú, ky, c■a c■p ■a l■i b■n vào 123doc.net m■i d■ng, sau vuingày, n■p lòng “■i■u giàu ti■n s■ ■■ng tr■ giá Kho■n h■u thành tr■ nh■p 2.000.000 website ■■ng Th■a th■ email vi■n th■i Thu■n c■a thành mong tài v■ li■u viên mu■n S■ online ■■ng D■ng click t■o l■n ký, D■ch ■i■u vào nh■t l■t link ki■n V■” vào Vi■t 123doc top sau cho Nam, 200 ■ây cho ■ã cung các (sau g■iwebsite c■p users ■âynh■ng ■■■c cóph■ thêm tài bi■n g■i thu li■u t■t nh■t nh■p ■■c T■it■i khơng t■ng Chính Vi■tth■i th■ Nam, v■y ■i■m, tìm t■123doc.net th■y l■chúng tìm ki■m tơi th■ racóthu■c ■■i tr■■ng th■nh■m c■p top ngo■i 3nh■t ■áp Google tr■ ■KTTSDDV ■ng 123doc.net Nh■n nhu c■u ■■■c theo chiaquy■t danh s■ tài hi■u li■udo ch■t c■ng l■■ng ■■ng vàbình ki■mch■n ti■n online website ki■m ti■n online hi■u qu■ uy tín nh■t Lnh■n Th■a Xu■t Sau Nhi■u 123doc Mang h■■ng phát thu■n l■i event cam s■ nh■n m■t tr■ t■ h■u k■t s■ thú nghi■m t■i ýxác n■m t■■ng m■t d■ng v■, s■ nh■n website mang event kho m■i ■■i, t■o t■ th■ m■ l■i c■ng ki■m ■■ng d■n 123doc CH■P vi■n nh■ng cho ■■u ■■ng ti■n h■ kh■ng ng■■i NH■N ■ã quy■n th■ng thi■t chia t■ng ki■m dùng, l■ CÁC s■ th■c s■ l■i b■■c v■i ti■n vàchuy■n ■I■U t■t công h■n mua 123doc online kh■ng nh■t 2.000.000 ngh■ bán KHO■N sang b■ng cho tài ■■nh hi■n ng■■i li■u ph■n tài TH■A tài v■ th■ li■u hàng t■o li■u thông dùng tríhi■n THU■N hi■u c■ c■a ■■u ■ tin t■t h■i Khi ■■i, qu■ Vi■t xác c■ khách gia b■n nh■t, minh l■nh Nam t■ng Chào online hàng uy tài v■c: l■nh thu Tác m■ng tín kho■n tr■ nh■p khơng tài phong v■c cao thành b■n email nh■t tài online khác chuyên ■■n li■u thành tínb■n Mong cho d■ng, v■i so nghi■p, viên kinh ■ã t■t 123doc 123doc.net! v■i mu■n công ■■ng c■a c■ doanh b■n hoàn mang ngh■ 123doc ký g■c online thành v■i h■o, Chúng l■i thông B■n 123doc.netLink cho viên Tính ■■ n■p có tơi tin, c■ng c■a cao th■ ■■n cung ti■n ngo■i tính website phóng ■■ng th■i vào c■p ng■, Khách trách xác tài ■i■m D■ch xã to,kho■n th■c nhi■m h■i thutháng V■ nh■ m■t s■ c■a (nh■ ■■i hàng ■■■c tùy ngu■n 5/2014; 123doc, v■i ■■■c ý cóg■i t■ng th■ tài 123doc v■ mơ ngun b■n d■ ng■■i ■■a t■ dàng s■ v■■t d■■i tri dùng ■■■c ch■ tra th■c m■c ■ây) email c■u M■c h■■ng quý 100.000 cho tài b■n tiêu báu, li■u b■n, nh■ng ■ã hàng phong m■t l■■t tùy ■■ng ■■u quy■n cách truy thu■c phú, ky, c■a c■p ■a l■i b■n vào 123doc.net m■i d■ng, sau xác, vuingày, n■p lòng “■i■u nhanh giàu ti■n s■ ■■ng tr■ giá Kho■n chóng h■u thành tr■ nh■p 2.000.000 website ■■ng Th■a th■ email vi■n th■i Thu■n c■a thành mong tài v■ li■u viên mu■n S■ online ■■ng D■ng click t■o l■n ký, D■ch ■i■u vào nh■t l■t link ki■n V■” vào Vi■t 123doc top sau cho Nam, 200 ■ây cho ■ã cung các (sau g■iwebsite c■p users ■âynh■ng ■■■c cóph■ thêm tài bi■n g■i thu li■u t■t nh■t nh■p ■■c T■it■i khơng t■ng Chính Vi■tth■i th■ Nam, v■y ■i■m, tìm t■123doc.net th■y l■chúng tìm ki■m tơi th■ racóthu■c ■■i tr■■ng th■nh■m c■p top ngo■i 3nh■t ■áp Google tr■ ■KTTSDDV ■ng 123doc.net Nh■n nhu c■u ■■■c theo chiaquy■t danh s■ tài hi■u li■udo ch■t c■ng l■■ng ■■ng vàbình ki■mch■n ti■n online website ki■m ti■n online hi■u qu■ uy tín nh■t u■t phát Nhi■u Mang Ln 123doc Th■a Xu■t Sau h■n h■■ng phát thu■n l■i event s■ cam nh■n t■ m■t tr■ t■ h■u ýk■t s■ thú nghi■m t■i ýt■■ng xác n■m t■■ng m■t d■ng v■, s■ nh■n website mang event t■o kho m■i ■■i, t■o t■ c■ng th■ m■ l■i c■ng ki■m ■■ng d■n 123doc CH■P vi■n nh■ng cho ■■ng ■■u ■■ng ti■n h■ kh■ng ng■■i NH■N ■ã quy■n th■ng thi■t chia ki■m t■ng ki■m dùng, l■ CÁC s■ th■c ti■n s■ l■i b■■c v■i ti■n vàchuy■n ■I■U t■t công online h■n mua 123doc online kh■ng nh■t 2.000.000 ngh■ bán KHO■N b■ng sang b■ng cho tài ■■nh hi■n tài ng■■i li■u ph■n tài TH■A li■u tài v■ th■ li■u hàng t■o li■u thơng dùng trí hi■u hi■n THU■N hi■u c■ c■a ■■u ■ tin qu■ t■t h■i Khi ■■i, qu■ Vi■t xác c■ khách gia nh■t, b■n nh■t, minh l■nh Nam t■ng Chào online uy hàng uy tài v■c: l■nh thu Tác tín m■ng tín kho■n tr■ cao nh■p khơng tài phong v■c cao thành b■n nh■t email nh■t tài online khác chuyên ■■n li■u thành tín Mong b■n Mong cho d■ng, v■i so nghi■p, viên kinh ■ã mu■n t■t 123doc 123doc.net! v■i mu■n công ■■ng c■a c■ doanh b■n mang hoàn mang ngh■ 123doc ký g■c online thành v■i l■i h■o, Chúng l■i thông B■n cho 123doc.netLink cho viên Tính ■■ n■p có c■ng tin, c■ng c■a cao th■ ■■n cung ti■n ngo■i ■■ng tính website phóng ■■ng th■i vào c■p ng■, Khách trách xác xã tài ■i■m D■ch xã to,h■i kho■n th■c nhi■m h■i thum■t tháng V■ nh■ m■t s■ c■a (nh■ ■■i hàng ngu■n ■■■c tùy ngu■n 5/2014; 123doc, v■i ■■■c ý cótài g■i t■ng th■ tài 123doc nguyên v■ mô nguyên b■n d■ ng■■i ■■a t■ dàng s■ v■■t tri d■■i tri dùng ■■■c ch■ th■c tra th■c m■c ■ây) email c■u quý M■c h■■ng quý 100.000 cho tài báu, b■n tiêu báu, li■u b■n, nh■ng phong ■ã hàng phong m■t l■■t tùy ■■ng ■■u phú, quy■n cách truy thu■c phú, ky, c■a c■p ■a ■a l■i b■n vào d■ng, 123doc.net m■i d■ng, sau xác, vuingày, n■p giàu lòng “■i■u nhanh giàu ti■n giá s■ ■■ng tr■ giá Kho■n chóng h■u tr■ thành tr■ nh■p ■■ng 2.000.000 website ■■ng Th■a th■ email th■i vi■n th■i Thu■n mong c■a thành mong tài v■ li■u mu■n viên mu■n S■ online ■■ng D■ng t■o click t■o l■n ■i■u ký, D■ch ■i■u vào nh■t l■t link ki■n ki■n V■” vào Vi■t 123doc cho top sau cho Nam, cho 200 ■ây cho ■ã cung các (sau g■i users website c■p users ■âynh■ng có ■■■c cóph■ thêm thêm tài bi■n g■i thu thu li■u t■t nh■p nh■t nh■p ■■c T■it■i Chính khơng t■ng Chính Vi■tth■i vìth■ Nam, vìv■y v■y ■i■m, tìm 123doc.net t■123doc.net th■y l■chúng tìm ki■m tơi th■ racó ■■i thu■c ■■i tr■■ng th■ nh■m nh■m c■p top ngo■i ■áp 3nh■t ■áp Google ■ng tr■ ■KTTSDDV ■ng 123doc.net nhu Nh■n nhuc■u c■u ■■■c chia theo chias■ quy■t danh s■tàitài hi■u li■u li■uch■t ch■t c■ng l■■ng l■■ng ■■ng vàvàki■m bình ki■mch■n ti■n ti■nonline online website ki■m ti■n online hi■u qu■ uy tín nh■t Nhi■u Mang Luôn 123doc Th■a Xu■t Sau h■n h■■ng phát thu■n l■i event s■ cam nh■n m■t tr■ t■ h■u k■t s■ thú nghi■m t■i ýxác n■m t■■ng m■t d■ng v■, s■ nh■n website mang event kho m■i ■■i, t■o t■ th■ m■ l■i c■ng ki■m ■■ng d■n 123doc CH■P vi■n nh■ng cho ■■u ■■ng ti■n h■ kh■ng ng■■i NH■N ■ã quy■n th■ng thi■t chia t■ng ki■m dùng, l■ CÁC s■ th■c s■ l■i b■■c v■i ti■n vàchuy■n ■I■U t■t công h■n mua 123doc online kh■ng nh■t 2.000.000 ngh■ bán KHO■N sang b■ng cho tài ■■nh hi■n ng■■i li■u ph■n tài TH■A tài v■ th■ li■u hàng t■o li■u thơng dùng tríhi■n THU■N hi■u c■ c■a ■■u ■ tin t■t h■i Khi ■■i, qu■ Vi■t xác c■ khách gia b■n nh■t, minh l■nh Nam t■ng Chào online hàng uy tài v■c: l■nh thu Tác m■ng tín kho■n tr■ nh■p khơng tài phong v■c cao thành b■n email nh■t tài online khác chun ■■n li■u thành tínb■n Mong cho d■ng, v■i so nghi■p, viên kinh ■ã t■t 123doc 123doc.net! v■i mu■n cơng ■■ng c■a c■ doanh b■n hồn mang ngh■ 123doc ký g■c online thành v■i h■o, Chúng l■i thơng B■n 123doc.netLink cho viên Tính ■■ n■p có tin, c■ng c■a cao th■ ■■n cung ti■n ngo■i tính website phóng ■■ng th■i vào c■p ng■, Khách trách xác tài ■i■m D■ch xã to,kho■n th■c nhi■m h■i thutháng V■ nh■ m■t s■ c■a (nh■ ■■i hàng ■■■c tùy ngu■n 5/2014; 123doc, v■i ■■■c ý cóg■i t■ng th■ tài 123doc v■ mô nguyên b■n d■ ng■■i ■■a t■ dàng s■ v■■t d■■i tri dùng ■■■c ch■ tra th■c m■c ■ây) email c■u M■c h■■ng quý 100.000 cho tài b■n tiêu báu, li■u b■n, nh■ng ■ã hàng phong m■t l■■t tùy ■■ng ■■u quy■n cách truy thu■c phú, ky, c■a c■p ■a l■i b■n vào 123doc.net m■i d■ng, sau xác, vuingày, n■p lòng “■i■u nhanh giàu ti■n s■ ■■ng tr■ giá Kho■n chóng h■u thành tr■ nh■p 2.000.000 website ■■ng Th■a th■ email vi■n th■i Thu■n c■a thành mong tài v■ li■u viên mu■n S■ online ■■ng D■ng click t■o l■n ký, D■ch ■i■u vào nh■t l■t link ki■n V■” vào Vi■t 123doc top sau cho Nam, 200 ■ây cho ■ã cung các (sau g■iwebsite c■p users ■âynh■ng ■■■c cóph■ thêm tài bi■n g■i thu li■u t■t nh■t nh■p ■■c T■it■i khơng t■ng Chính Vi■tth■i th■ Nam, v■y ■i■m, tìm t■123doc.net th■y l■chúng tìm ki■m tơi th■ racóthu■c ■■i tr■■ng th■nh■m c■p top ngo■i 3nh■t ■áp Google tr■ ■KTTSDDV ■ng 123doc.net Nh■n nhu c■u ■■■c theo chiaquy■t danh s■ tài hi■u li■udo ch■t c■ng l■■ng ■■ng vàbình ki■mch■n ti■n online website ki■m ti■n online hi■u qu■ uy tín nh■t CHƢƠNG TỔNG QUAN VỀ MƠ HÌNH THIẾT KẾ 1.1 ĐẶT VẤN ĐỀ Thông tin liên lạc vấn đề quan tâm xã hội Ngay từ ngày xưa, người biết vận dụng có sẵn để truyền tin lửa, âm thanh, dấu hiệu… Ngày nay, với phát triển xã hội ngày có nhiều cách tiếp cận với thơng tin Ta biết thơng tin qua báo chí, truyền hình, mạng internet, qua pano, áp phích… Thơng tin cần phải truyền nhanh chóng, kịp thời phổ biến rộng rãi tồn xã hội Và việc thu thập thông tin kịp thời, xác yếu tố quan trọng thành công lĩnh vực Các thiết bị tự động điều khiển từ xa qua thiết bị chủ điều khiển trực tiếp qua hệ thống máy tính Việc sử dụng vi điều khiển để điều khiển hiển thị có nhiều ưu điểm mà phương pháp truyền thống panơ, áp phích khơng có việc điều chỉnh thơng tin cách nhanh chóng cách thay đổi phần mềm Với lý trên, đề tài em đưa cách thức phục vụ thông tin dùng quang báo Nội dung nghiên cứu đề tài tạo bảng quang báo ứng dụng việc hiển thị truyền thông nơi công cộng công ty, nhà xưởng, ngã tư báo hiệu… Thế giới ngày phát triển lĩnh vực điều khiển cần phải mở rộng Việc ứng dụng mạng truyền thông công nghiệp vào sản xuất mang lại nhiều thuận lợi cho xã hội lồi người, thơng tin cập nhật nhanh chóng điều khiển cách xác 1.2 GIỚI THIỆU VỀ ĐÈN LED 1.2.1 Khái niệm chung LED (viết tắt Light Emitting Diode) điốt có khả phát ánh sáng hay tia hồng ngoại Giống điốt, LED cấu tạo từ khối bán dẫn loại p ghép với khối bán dẫn loại n Tương tự bóng đèn trịn bình thường khơng có dây tóc giữa, đèn LED tạo nhiều ánh sáng hơn, tỏa nhiệt so với thiết bị chiếu sáng khác 1.2.2 Tính chất cơng nghệ Những tính chất riêng có quy định đặc thù cơng nghệ đèn LED tạo nên ưu điểm khiến LED đánh bại công nghệ chiếu sáng tồn Tiêu thụ điện thấp so với ánh sáng thông thường Tiết kiệm mức thấp nhất, hiệu suất chiếu sáng cao tiết kiệm khoảng 75% điện so với đèn chiếu sáng thông thường Thân thiện với mơi trường: Khơng tia cực tím, khơng xạ tia hồng ngoại, phát nhiệt ánh sánh thấp, không chứa thủy ngân chất có hại…, khơng gây ô nhiễm môi trường Không sử dụng thủy ngân, giảm thiểu tối đa việc sử dụng chì cho mối hàn, người dùng an tâm hẳn giảm phần tác hại không mong muốn vật dụng theo sát bên làm việc hay giải trí Nhiệt độ làm việc thấp: Nhiệt độ làm việc bóng đèn LED cao nhiệt độ môi trường khoảng – 80C, thấp so với đèn huỳnh quang thông thường khoảng 13 – 250C Tuổi thọ cao: Vượt qua 50,000 (tương đương với năm thắp sáng liên tục) Theo tài liệu đặc tả tiêu chuẩn kỹ thuật cơng nghệ LED hình bạn có tuổi thọ cao lần so với sản phẩm LCD cũ Mỏng nhẹ: sản phẩm sử dụng công nghệ LED thường có ưu điểm thiết kế mỏng trọng lượng nhẹ Chất lượng hình ảnh: Màu đen chân thực màu trắng có độ sáng cần thiết, điều tạo nên tương phản cao - thể qua thông số độ tương phản động (DCR) vượt qua mức 10.000.000:1, gấp hàng chục lần so với công nghệ tốt LCD - giúp sản phẩm hình cơng nghệ LED có hình ảnh có chiều sâu sống động "đều" 1.2.3 Các ứng dụng công nghệ Công nghệ LED vào sống thường ngày người tiêu dùng thơng qua nhiều hình thức sản phẩm đa chủng loại đèn chiếu sáng sử dụng LED phát ánh sáng trắng LED dùng để làm phận hiển thị thiết bị điện, điện tử, đèn quảng cáo, trang trí, đèn giao thông Các LED phát tia hồng ngoại dùng thiết bị điều khiển từ xa cho đồ điện tử dân dụng Đèn LED trắng nói riêng đèn LED nói chung có nhiều ứng dụng rộng rãi mà đèn huỳnh quang không làm đèn xe, đèn đường, đèn hầm mỏ, đèn chiếu hậu cho hình điện thoại cầm tay, đèn chiếu hậu cho hình tinh thể lỏng (LCD), in ấn kỹ thuật số Một đặc điểm khác đèn LED tiêu hao lượng khơng nóng Bóng đèn truyền thống, đèn neon, đèn halogen cần từ 110-220 V cháy được, đèn LED trắng cần từ 3-24 V để phát sáng Do tiêu hao lượng nên đèn LED sử dụng vùng sâu vùng xa mà không cần nhà máy phát điện công suất cao 1.3 GIỚI THIỆU VỀ BẢNG QUANG BÁO HIỂN THỊ LED MA TRẬN Dựa nguyên tắc qt hình tivi, máy tính, ta thực việc hiển thị ma trận đèn cách quét theo hàng quét theo cột Mỗi Led ma trận Led coi điểm ảnh Địa điểm ảnh xác định đồng thời mạch giải mã hàng giải mã cột, điểm ảnh xác định nhờ liệu đưa từ mạch điều khiển Như thời điểm có trạng thái điểm ảnh xác định Tuy nhiên xác định địa trạng thái điểm ảnh điểm ảnh cịn lại chuyển trạng thái tắt.Vì để hiển thị tồn hình ảnh mà ta muốn ta phải quét ma trận nhiều lần với tốc độ quét lớn, lớn nhiều lần thời gian kịp tắt đèn Mắt người nhận biết tối đa 24 hình/s tốc độ quét lớn mắt người không nhận biết gián đoạn nhấp nháy đèn Led(đánh lừa cảm giác mắt) Ứng dụng hiển thị Led ma trận để đảm bảo phù hợp thông số điện Led đơn người ta khơng điều khiển theo chu trình hình tivi (CRT) để đảm bảo độ sáng tồn bảng led dịng tức thời qua led vơ lớn đánh thủng lớp tiếp giáp led Trên thực tế người ta ghép chung anot catot hàng cột Khi cơng việc điều khiển chuyển liệu cột cấp điện cho hàng Như tài thời điểm có hàng điều khiển sáng theo liệu đưa Ngoài để đảm bảo độ sáng bảng thông tin tốt nhất, đặc biệt với bảng cỡ lớn theo chiều dọc ( có nhiều hàng), thời gian sáng hàng lúc bị giảm nhiều nguyên kiểu quét hàng Để khác phục điều người ta sử dụng phương pháp điều khiển cho hàng sáng, từ giúp giảm dịng tức thời qua led mà đảm bảo độ sang tối ưu CHƢƠNG CÁC THIẾT BỊ SỬ DỤNG TRONG MƠ HÌNH 2.1 VI ĐIỀU KHIỂN 2.1.1 Giới thiệu sơ lƣợc khối vi điều khiển Bộ vi điều khiển viết tắt Micro-controller, mạch tích hợp chíp lập trình được, dùng để điều khiển hoạt động hệ thống Theo tập lệnh người lập trình, vi điều khiển tiến hành đọc, lưu trữ thông tin, xử lý thông tin, đo thời gian tiến hành đóng mở cấu Trong thiết bị điện điện tử dân dụng, vi điều khiển điều khiển hoạt động ti vi, máy giặt, điện thoại … Trong hệ thống sản xuất tự động, vi điều khiển sử dụng Robot dây truyền tự động Các hệ thống “thơng minh” vai trị hệ vi điều khiển quan trọng Với khối xử lý trung tâm chúng em sử dụng IC vi điều khiển 89C52 loại vi điều khiển thông dụng chúng em học trường 2.1.2 Khảo sát vi điều khiển 89C52 IC vi điều khiển 89C52 thuộc họ MCS51 có đặc điểm sau: - 8Kb ROM - 256 byte RAM - 4port I/O bit - định thời - Giao tiếp nối tiếp - 64Kb khơng gian nhớ chương trình mở rộng - 64Kb khơng gian nhớ liệu mở rộng Hình 2.1: Cấu trúc phần cứng họ VĐK 89C51 Chức chân vi điều khiển: - Port 0: Là port có chân từ 32 đến 39 có cơng dụng Trong thiết kế có tơí thiểu thành phần, port sử dụng làm nhiệm vụ xuất nhập.Trong thiết kế lớn có nhớ ngồi, port trở thành bus địa bus liệu đa hợp - Port 1: Là port có chân từ đến Có chức đường I/O - Port 2: Là port có chân từ 21 đến 28 có cơng dụng, làm nhiệm vụ xuất nhập byte địa cao bus địa 16 – bit cho thiết kế có nhớ chương trình ngồi thiết kế có nhiều 256 byte nhớ liêụ ngồi Hình 2.2: Sơ đồ chân VĐK 89C52 - Port 3: Là port có chân từ 10 đến 17 Có chức đường I/O Ngồi cịn có chức đặc biệt sau: Bảng 2.1: Chức đặc biệt chân Port Bit Tên Địa bít Chức P3.0 RxD B0H Chân nhận liệu port nối tiếp P3.1 TxD B1H Chân phát liệu port nối tiếp P3.2 INT B2H Ngõ vào ngắt P3.3 INT B3H Ngõ vào ngắt P3.4 T0 B4H Ngõ vào định thời / đếm P3.5 T1 B5H Ngõ vào định thời / đếm P3.6 WR B6H Điều khiển ghi nhớ liệu P3.7 RD B7H Điều khiển đọc nhớ liệu - PSEN (Program Stone Enable): Chân 29 Chân cho phép đọc nhớ chương trình ngồi - ALE ( Address Latch Enable): Chân 30 Là chân tín hiệu cho phép chốt địa để truy cập nhớ ngoài, On-chip xuất byte thấp địa Tín hiệu chốt kích hoạt mức cao, tần số xung chốt = 1/6 tần số dao động VĐK Nó dung cho Timer cho mục đích tạo xung Clock Đây chân nhận xung vào để nạp chương trình cho Flash ( EEPROM ) bên On-chip mức thấp - EA ( External Access): Chân 31 Tích cực mức thấp, chạy chương trình ROM ngồi Tích cực mức cao, chạy chương trình ROM nội - Các ngõ vào dao động chip: Chân 18 19 - Các chân nguồn: Chân 20 GND Chân 40 VCC - RST ( Reset): Chân Reset tích cực mức cao chu kỳ máy Các ghi chức đặc biệt: - Từ trạng thái chương trình: Từ trạng thái chương trình (PSW: Program Status Word) địa D0H chứa bit trạng thái bảng tóm tắt sau: Bảng 2.2: Chức bit ghi trạng thái chương trình Bit Ký hiệu Địa Mô tả bit PSW.7 CY D7H Cờ nhớ PSW.6 AC D6H Cờ nhớ phụ PSW.5 F0 D5H Cờ PSW.4 RS1 D4H Chọn dãy ghi ( bit ) Chọn dãy ghi ( bit ) 00 = bank : địa từ 00H đến 07H PSW.3 RS0 D3H 01 = bank : địa từ 08H đến 0FH 10 = bank : địa từ 10H đến 17H 11 = bank : địa từ 18H đến 1FH PSW.2 OV D2H Cờ tràn PSW.1 - D1H Dự trữ PSW.0 P D0H Cờ kiểm tra chẵn lẻ - Thanh ghi B: Thanh ghi B địa F0H dùng với ghi tích lũy A cho phép toán nhân chia Lệnh MUL AB nhân giá trị không dấu bit A B trả kết 16 bit A (byte thấp) B (byte cao) Lệnh DIV AB chia A cho B trả kết kết nguyên A 10 mov p0,a inc r0 call doi mov p0,#00h cjne r0,#32,lap8 djnz r1,loop17 inc dptr inc r2 cjne r2,#56,loop16 clr p2.4 ret chtrinh10: loop18: loop19: mov dptr,#DL9 mov r2,#00h; so lan quet cot mov r1,#26; tan so quet cot setb p2.4; xung reset setb p2.7 ;dua du lieu vao 595 mov r0,#00h lap9: setb p2.6; xung clock nop clr p2.6 clr p2.7 setb p2.5; xung chot nop clr p2.5 mov a,r0 movc a,@a+dptr cpl a mov p0,a inc r0 call doi mov p0,#00h cjne r0,#32,lap9 djnz r1,loop19 inc dptr 46 inc r2 cjne r2,#56,loop18 clr p2.4 ret chtrinh11: loop20: loop21: mov dptr,#DL10 mov r2,#00h; so lan quet cot mov r1,#26; tan so quet cot setb p2.4; xung reset setb p2.7 ;dua du lieu vao 595 mov r0,#00h lap10: setb p2.6; xung clock nop clr p2.6 clr p2.7 setb p2.5; xung chot nop clr p2.5 mov a,r0 movc a,@a+dptr cpl a mov p0,a inc r0 call doi mov p0,#00h cjne r0,#32,lap10 djnz r1,loop21 inc dptr inc r2 cjne r2,#56,loop20 clr p2.4 ret chtrinh12: mov dptr,#DL11 mov r2,#00h; so lan quet cot 47 loop22: loop23: mov r1,#26; tan so quet cot setb p2.4; xung reset setb p2.7 ;dua du lieu vao 595 mov r0,#00h lap11: setb p2.6; xung clock nop clr p2.6 clr p2.7 setb p2.5; xung chot nop clr p2.5 mov a,r0 movc a,@a+dptr cpl a mov p0,a inc r0 call doi mov p0,#00h cjne r0,#32,lap11 djnz r1,loop23 inc dptr inc r2 cjne r2,#56,loop22 clr p2.4 ret chtrinh13: loop24: loop25: mov dptr,#DL12 mov r2,#00h; so lan quet cot mov r1,#26; tan so quet cot setb p2.4; xung reset setb p2.7 ;dua du lieu vao 595 mov r0,#00h lap12: setb p2.6; xung clock 48 nop clr p2.6 clr p2.7 setb p2.5; xung chot nop clr p2.5 mov a,r0 movc a,@a+dptr cpl a mov p0,a inc r0 call doi mov p0,#00h cjne r0,#32,lap12 djnz r1,loop25 inc dptr inc r2 cjne r2,#56,loop24 clr p2.4 ret chtrinh14: loop26: loop27: mov dptr,#DL13 mov r2,#00h; so lan quet cot mov r1,#26; tan so quet cot setb p2.4; xung reset setb p2.7 ;dua du lieu vao 595 mov r0,#00h lap13: setb p2.6; xung clock nop clr p2.6 clr p2.7 setb p2.5; xung chot nop clr p2.5 mov a,r0 49 movc a,@a+dptr cpl a mov p0,a inc r0 call doi mov p0,#00h cjne r0,#32,lap13 djnz r1,loop27 inc dptr inc r2 cjne r2,#56,loop26 clr p2.4 ret chtrinh15: loop28: loop29: mov dptr,#DL14 mov r2,#00h; so lan quet cot mov r1,#26; tan so quet cot setb p2.4; xung reset setb p2.7 ;dua du lieu vao 595 mov r0,#00h lap14: setb p2.6; xung clock nop clr p2.6 clr p2.7 setb p2.5; xung chot nop clr p2.5 mov a,r0 movc a,@a+dptr cpl a mov p0,a inc r0 call doi mov p0,#00h cjne r0,#32,lap14 50 djnz r1,loop29 inc dptr inc r2 cjne r2,#56,loop28 clr p2.4 ret chtrinh16: loop30: loop31: mov dptr,#DL15 mov r2,#00h; so lan quet cot mov r1,#26; tan so quet cot setb p2.4; xung reset setb p2.7 ;dua du lieu vao 595 mov r0,#00h lap15: setb p2.6; xung clock nop clr p2.6 clr p2.7 setb p2.5; xung chot nop clr p2.5 mov a,r0 movc a,@a+dptr cpl a mov p0,a inc r0 call doi mov p0,#00h cjne r0,#32,lap15 djnz r1,loop31 inc dptr inc r2 cjne r2,#56,loop30 clr p2.4 ret 51 : ======================ctrinh tre~============= doi: mov 7fh,#120 ; Cho thoi gian ngan de mat nhìn thay djnz 7fh,$ ret ;=========================================== DL0: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 81H,7EH,7EH,7EH,81H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL1: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 0DEH,0BEH,0H,0FEH,0FEH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF 52 H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL2: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 0BCH,7AH,76H,6EH,9EH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL3: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 0BDH,6EH,6EH,6EH,91H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL4: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF 53 H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 0E3H,0DBH,0BBH,60H,0FBH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL5: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 0DH,6EH,6EH,6EH,71H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0F FH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH, 0FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL6: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 81H,6EH,6EH,6EH,0F1H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0F FH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH, 0FFH,0FFH 54 DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL7: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 7FH,78H,77H,6FH,1FH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL8: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 99H,66H,66H,66H,99H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL9: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF 55 H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 8FH,76H,76H,76H,81H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL10: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 0C0H,0B7H,77H,77H,0B7H,0C0H,0FFH,0FFH,0FFH,0FFH,0FFH, 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL11: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 0H,76H,76H,66H,99H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH, 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH 56 DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL12: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 0C3H,0BDH,7EH,7EH,7EH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH, 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL13: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 0H,7EH,7EH,0BDH,0C3H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL14: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH 57 DB 0H,6EH,6EH,6EH,7EH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH DL15: DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FF H,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0 FFH,0FFH,0FFH DB 0H,6FH,6FH,6FH,7FH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH ,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0F FH,0FFH DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH End 58 KẾT LUẬN Nhưng kiến thức cịn hạn hẹp nên khơng thể tránh thiếu sót q trình làm đề tài Em mong nhận lời bảo từ thầy cô hội đồng Trong hai năm học tập trường Đại Học Dân Lập Hải Phòng Em hướng dẫn tận tình thầy kiến thức chuyên môn kiến thức sống Từ kiến thức tảng giúp em hoàn thành tập đồ án tốt nghiệp thời gian cho phép Em xin chân thành cảm ơn thầy cô khoa điện công nghiệp giảng dạy cho chúng em kiến thức chuyên môn định hướng theo hiểu biết, khả chúng em để chúng em thực tốt đề án tạo điều kiện thuận lợi cho chúng em hồn tất khóa học cơng việc sau Sau em xin chân thành cảm ơn thầy Ths Nguyễn Trọng Thắng tận tình giúp đỡ em hồn thành tập đề án Em xin chân thành cảm ơn! Sinh viên Lê Quang Thọ 59 TÀI LIỆU THAM KHẢO Phạm Quang Trí, Giáo trình vi xử lý – Lý thuyết thực hành, Trường ĐHCN TP.HCM Tống Văn On (2001) , Họ vi điều khiển 8051, NXB Lao động – Xã hội, Hà Nội Tống Văn On – Hoàng Đức Hải (2004), Họ vi điều khiển 8051, Nhà xuất Lao động – Xã hội Datasheet ULN2803 Datasheet 74HC595 60 ... Reset hệ thống RST 0000H Bên IE0 0003H Time TF0 000BH Bên ngoài1 IE1 0013H Time TF1 001BH Port nối tiếp TI RI 0 023 H Time TF2 IE2 002BH 19 2. 2 BÀN PHÍM Bàn phím thiết bị giúp người sử dụng giao tiếp. .. cjne r0,# 32, lap10 djnz r1,loop21 inc dptr inc r2 cjne r2,#56,loop20 clr p2.4 ret chtrinh 12: mov dptr,#DL11 mov r2,#00h; so lan quet cot 47 loop 22: loop23: mov r1, #26 ; tan so quet cot setb p2.4; xung... cjne r0,# 32, lap11 djnz r1,loop23 inc dptr inc r2 cjne r2,#56,loop 22 clr p2.4 ret chtrinh13: loop24: loop25: mov dptr,#DL 12 mov r2,#00h; so lan quet cot mov r1, #26 ; tan so quet cot setb p2.4; xung

Ngày đăng: 05/08/2021, 21:47

Tài liệu cùng người dùng

Tài liệu liên quan