Bài giảng Tìm hiểu VHDL

120 9 0
Bài giảng Tìm hiểu VHDL

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Với kết cấu nội dung gồm 6 bài, bài giảng Tìm hiểu VHDL giới thiệu đến các bạn những nội dung về vấn đề mô hình hoá phần cứng, ngôn ngữ VHDL, Operators và Expressions, các lệnh điều khiển chương trình trong VHDL,... Hy vọng đây là tài liệu tham khảo hữu ích cho các bạn.

Bài Vấn đề Mơ hình hố phần cứng (Hardware Modeling) Hardware Modeling - - VHDL gì? • Một từ viết tắt cho từ viết tắt khác, VHDL từ viết tắt VHSIC Hardware Description Language – • Cịn VHSIC từ viết tắt Very High Speed Integrated Circuit Tiếp theo, bắt đầu tìm hiểu xuất xứ mục đích ngôn ngữ Hardware Modeling - - VHDL - Mục đích hình thành • VHDL, trước hết chủ yếu công cụ để mô hình hố phần cứng (hardware modeling) – Để mơ (simulation) tổng hợp (synthesis) mạch • Chuẩn IEEE 1076 hồn chỉnh cho việc mơ hình hố thiết bị, định nghĩa tham số khái quát cho việc tổng hợp thiết bị • Kết quả: mơ hình phần cứng cho khơng hẳn phù hợp với thiết kế mức cổng logic có thơng qua cơng cụ cơng nghệ đích (target) khác Hardware Modeling - - Chuẩn hố VHDL • Tổ chức IEEE thức phê chuẩn chấp nhận ngôn ngữ VHDL chuẩn họ vào năm 1987, chuẩn IEEE 1076 – • Giống chuẩn kác IEEE, chuẩn IEEE 1076 sửa đổi theo chu kỳ tối thiểu năm Sửa đổi thực năm 1993, VHDL-93 coi phiên thức ngơn ngữ này, bắt đầu xuất VHDL 200X Tuy nhiên, hầu hết công cụ (tool) hỗ trợ phiên (VHDL-87) – Các phận VHDL 200X hỗ trợ số tool – VHDL-2X VHDL-93 VHDL-87 Hardware Modeling - - Language Subsets IEEE 1076 (synthesis) • IEEE 1076 (modeling) Không phải tất cấu trúc VHDL tổng hợp Ví dụ, wait for 10 ns cấu trúc mơ hình hố thơng dụng, khơng tương ứng với tạo phần tử mức cổng logic Hardware Modeling - - Các mức trừu tượng mơ tả phần cứng Ít chi tiết hơn, thiết kế mô nhanh F Behavioral RTL AND_OR2 Chi tiết hơn, phụ thuộc công nghệ, thiết kế mô chậm Hardware Modeling - - DFF Logic Layout CLB_ R5C5 CLB_ R5C6 Sự chồng chéo VHDL Sum when “11” => end case ; end process ; Z Z Z Z

Ngày đăng: 09/05/2021, 22:13

Mục lục

    VHDL - Mục đích và sự hình thành

    Các mức trừu tượng trong mô tả phần cứng

    Sự chồng chéo trong VHDL

    Trình tự thiết kế Top-Down

    Nguyên tắc phân đoạn

    Cấu trúc Top - Down

    Kiểm tra thiết kế

    Các bước kiểm tra thiết kế

    Kiểm tra thiết kế?

    Design Units trong VHDL

Tài liệu cùng người dùng

Tài liệu liên quan