1. Trang chủ
  2. » Luận Văn - Báo Cáo

(Đồ án hcmute) thiết kế mạch quang báo sử dụng kit epga

107 4 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT THÀNH PHỐ HỒ CHÍ MINH ĐỒ ÁN TỐT NGHIỆP NGÀNH ĐIỆN TỬ CÔNG NGHIỆP THIẾT KẾ MẠCH QUANG BÁO SỬ DỤNG KIT FPGA GVHD:NGUYỄN ĐÌNH PHÚ SVTH:NGUYỄN THANH LIÊM MSSV:14141477 SVTH:HỒ LONG ĐẠI MSSV: 14141445 SKL 0 Tp Hồ Chí Minh, tháng 7/2018 an CỘNG HÒA XÃ HỘI CHỦ NGHĨA VIỆT NAM Độc lập – Tự – Hạnh phúc *** Tp Hồ Chí Minh, ngày 19 tháng năm 2018 NHIỆM VỤ ĐỒ ÁN MÔN HỌC Họ tên sinh viên: Nguyễn Thanh Liêm Hồ Long Đại Ngành: Điện tử công nghiệp Giảng viên hướng dẫn: ThS Nguyễn Đình Phú Ngày nhận đề tài: 10/03/2018 MSSV: 14141477 MSSV: 14141445 Lớp: 14141CLDT1 ĐT: 0903982443 Ngày nộp đề tài: 19/07/2018 Tên đề tài: Thiết kế mạch quang báo sử dụng kit FPGA Các số liệu, tài liệu ban đầu: Giáo trình “Thiết kế vi mạch số với VHDL – Th.S Nguyễn Đình Phú, Th.S Trương Thị Bích Ngà” – Đại Học Sư Phạm Kỹ Thuật TP.HCM tháng 08/2017 Nội dung thực hiện đề tài: Thiết kế mạch quang báo sử dụng kit FPGA làm điều khiển trung tâm bao gồm phần giao diện từ máy tính để gửi liệu mạch phần cứng bảng led để hiển thị liệu Sản phẩm: Hệ thống mạch quang báo sử dụng kit FPGA TRƯỞNG NGÀNH GIẢNG VIÊN HƯỚNG DẪN i an CỘNG HÒA XÃ HỘI CHỦ NGHĨA VIỆT NAM Độc lập – Tự – Hạnh phúc *** PHIẾU NHẬN XÉT CỦA GIÁO VIÊN HƯỚNG DẪN Họ tên Sinh viên: Nguyễn Thanh Liêm MSSV: 14141477 Hồ Long Đại MSSV: 14141445 Ngành: Điện tử công nghiệp Tên đề tài: Thiết kế mạch quang báo sử dụng kit FPGA Họ tên Giáo viên hướng dẫn: ThS Nguyễn Đình Phú NHẬN XÉT Về nội dung đề tài & khối lượng thực hiện: Ưu điểm: Khuyết điểm: Đề nghị cho bảo vệ hay không? Đánh giá loại: Điểm:……………….(Bằng chữ: ) Tp Hồ Chí Minh, ngày tháng năm 2018 Giáo viên hướng dẫn ii an CỘNG HÒA XÃ HỘI CHỦ NGHĨA VIỆT NAM Độc lập – Tự – Hạnh phúc *** PHIẾU NHẬN XÉT CỦA GIÁO VIÊN PHẢN BIỆN Họ tên Sinh viên: Nguyễn Thanh Liêm MSSV: 14141477 Hồ Long Đại MSSV: 14141445 Ngành: Điện tử công nghiệp Tên đề tài: Thiết kế mạch quang báo sử dụng kit FPGA Họ tên Giáo viên phản biện: NHẬN XÉT Về nội dung đề tài & khối lượng thực hiện: Ưu điểm: Khuyết điểm: Đề nghị cho bảo vệ hay không? Đánh giá loại: Điểm:……………….(Bằng chữ: ) Tp Hồ Chí Minh, ngày tháng năm 2018 Giáo viên phản biện iii an MỤC LỤC LỜI CÁM ƠN LỜI MỞ ĐẦU Chương TỔNG QUAN 1.1 Đặt vấn đề 1.2 Mục tiêu 1.3 Phương pháp nghiên cứu 1.4 Phạm vi nghiên cứu 1.5 Bố cục đồ án Chương CƠ SỞ LÝ THUYẾT 2.1 Tổng quan FPGA 2.1.1 Giới thiệu FPGA 2.1.2 Kiến trúc chung FPGA 2.2 Tổng quan ngôn ngữ VHDL 2.2.1 Giới thiệu ngôn ngữ VHDL 2.2.2 Một số ưu điểm ngôn ngữ VHDL 2.2.3 Cấu trúc chương trình sử dụng ngôn ngữ VHDL 2.3 Sơ lược nguyên lý 13 2.3.1 Nguyên lý điều chế độ rộng xung PWM 13 2.3.2 Lý thuyết điểm ảnh 14 2.3.3 Sơ lược chuẩn UART 15 2.3.4 Sơ lược chuẩn I2C 17 2.4 Khảo sát module Led P10 20 2.4.1 Led RGB (Led màu) 21 2.4.2 IC 74HC595 22 2.4.3 IC 74LS138 25 2.4.4 IC 74HC245 27 iv an 2.4.5 IC APM4953 29 2.4.6 Nguyên lý hoạt động 30 2.5 Khảo sát IC thời gian thực DS1307 31 2.6 FPGA EP4CE6E22C8N 36 Chương TÍNH TỐN VÀ THIẾT KẾ 38 3.1 Giới thiệu tóm tắt 38 3.1.1 Yêu cầu hệ thống 38 3.1.2 Phương án thiết kế 38 3.2 Thiết kế sơ đồ khối 39 3.3 Khối cảm biến 40 3.4 Khối xử lý trung tâm dùng FPGA 40 3.4 Thiết kế bảng quang báo 41 3.5 Thiết kế khối nguồn 42 3.6 Sơ đồ kết nối FPGA với ngoại vi 43 Chương THI CÔNG HỆ THỐNG 45 4.1 Phần cứng 45 4.1.1 Lắp ráp kiểm tra thiết bị 45 4.1.2 Cố định điều khiển 47 4.1.3 Cố định nguồn 48 4.2 Phần mềm 48 4.2.1 Phần mềm lập trình cho kit FPGA 48 4.2.2 Thiết kế khối FPGA 57 4.2.3 Chương trình C# 83 Chương KẾT QUẢ NHẬN XÉT ĐÁNH GIÁ 87 5.1 Kết 87 5.1.1 Hình ảnh sản phẩm 87 5.1.2 Hình ảnh giao diện máy tính 88 v an 5.1.3 Kết đạt 88 5.2 Đánh giá 92 Chương KẾT LUẬN VÀ HƯỚNG PHÁT TRIỂN 93 6.1 Kết luận 93 6.2 Hướng phát triển 94 TÀI LIỆU THAM KHẢO 95 vi an DANH SÁCH HÌNH Hình Cấu trúc tổng thể FPGA Hình 2 Mạch cộng bán phần 10 Hình Đồ thị dạng xung điều chế PWM 13 Hình Hệ màu RGB 15 Hình Cấu trúc byte truyền 16 Hình Hệ thống thiết bị giao chuẩn I2C 17 Hình Dạng sóng ghi liệu vào thiết bị tớ 18 Hình Dạng sóng đọc liệu từ thiết bị tớ 19 Hình Dạng sóng chi tiết SDA SCL 20 Hình 10 Module Led P10 21 Hình 11 Sơ đồ chân Led RGB 22 Hình 12 Sơ đồ chân IC 74HC595 22 Hình 13 Sơ đồ khối ghi 74HC595 24 Hình 14 Sơ đồ chân IC 74138 25 Hình 15 Mạch logic bên IC 74LS138 25 Hình 16 Sơ đồ chân IC 74HC245 27 Hình 17 Sơ đồ chi tiết bên IC 74HC245 28 Hình 18 IC APM4953 29 Hình 19 Cấu tạo IC APM4953 29 Hình 20 Sơ đồ chân ngõ vào module led ma trận P10 30 Hình 21 Chiều liệu vào 74HC595 31 Hình 22 Sơ đồ chân DS1307 32 Hình 23 Sơ đồ giao chuẩn I2C DS1307 33 Hình 24 Tổ chức nhớ DS1307 33 Hình 25 Tổ chức ghi thời gian 34 Hình 26 Cấu trúc bên DS1307 35 Hình 27 Các ngoại vi tích hợp board 36 Hình Sơ đồ khối hệ thống 39 Hình FPGA EP4CE6E22C8N 41 Hình 3 Tám bảng led ghép lại với 42 Hình Nguồn tổ ong 5V - 40A 42 Hình Sơ đồ kết nối FPGA với ngoại vi 43 vii an Hình Hub ngõ vào, chân cấp nguồn Led P10 45 Hình Kết nối bảng led hàng ngang 46 Hình Kết sau thi công 47 Hình 4 Cố định điều khiển sắt 47 Hình Cố định nguồn 48 Hình Giao diện ban đầu mở phần mềm 49 Hình Cửa sổ chọn đường dẫn tên dự án 50 Hình Cửa sổ chọn loại chip FPGA cho dự án 51 Hình Giao diện lựa chọn ngôn ngữ thiết kế 52 Hình 10 Giao diện để người dùng nhập code 53 Hình 11 Giao diện biên dịch chương trình 54 Hình 12 Biên dịch thành cơng 54 Hình 13 Biên dịch bị lỗi 55 Hình 14 Giao diện gán chân 55 Hình 15 Giao diện nạp chương trình xuống FPGA 56 Hình 16 Sơ đồ khối bên FPGA 57 Hình 17 Sơ đồ chân khối UART 58 Hình 18 Mơ hình máy trạng thái khối UART_RX 60 Hình 19 Sơ đồ chân khối DATA PROCESSING 62 Hình 20 Sơ đồ chân 65 Hình 21 Mơ hình trạng thái đọc ghi vào DS1307 66 Hình 22 Sơ đồ chân khối CHARACTERS CONTROL 72 Hình 23 Sơ đồ bên khối CHARACTER CONTROL 73 Hình 24 Sơ đồ chân khối Rom_char 73 Hình 25 Mạch đa hợp để mô tả khối Rom_char 76 Hình 26 Sơ đồ chân khối Char_Control 77 Hình 27 Vị trí hiển thị ký tự 78 Hình 28 Sơ đồ chân DISPLAY CONTROL 79 Hình 29 Sơ đồ chân SIGNAL CONTROL 81 Hình 31 Giao diện C# máy tính 83 Hình 32 Lưu đồ nút nhấn connect 84 Hình 33 Lưu đồ timer 84 Hình 34 Lưu đồ nút nhấn send 85 Hình 35 Lưu đồ nút nhấn send 85 Hình Sản phẩm sau thi công 87 Hình Giao diện máy tính 88 viii an Hình Hiển thị chữ với màu đỏ 89 Hình Hiển thị chữ màu xanh dương 90 Hình 5 Hiển thị đồng hồ kim 91 ix an 26 T +1 F T D_1hz_reg D_1hz_next d ENA1HZ q F CLK =N =N/2 CLK Hình 30 Sơ đồ khái niêm mạch tạo xung cho phép 1HZ Chương trình gồm có phần: Phần mạch tuần tự: Khi có xung CLK giá trị D_1hz_reg cập nhật giá trị kế D_1hz_next Phần mạch tổ hợp tạo trạng thái kế: So sánh giá trị D_1hz_reg N gán giá trị bắt đầu lại để bắt đầu lại chu kỳ mới, chưa N giá trị D_1hz_next giá trị hiện D_1hz_reg cộng thêm Cả phần mạch đếm xung mod N đếm xung CLK Giá trị N tần số xung clock CLK kit FPGA Nếu tần số xung clock kit FPGA 50MHZ chu kỳ xung clock 20ns Khi mạch đếm đếm xung 20ns*50,000,000=1,000,000,000ns=1s Nếu tần số xung clock kit FPGA 100MHZ chu kỳ xung clock 10ns Khi mạch đếm đếm xung 10ns*100,000,000=1,000,000,000ns=1s Trong chương trình sử dụng số N để dễ dàng thay đổi theo tần số kit, số N gán 50,000,000 kit dùng có tần số 50MHZ Nếu kit dùng có tần số 100MHZ hiệu chỉnh lại 100,000,000 Phần xử lý tín hiệu ngõ ra: Ngõ ENA1HZ lên mức giá trị đếm mạch đếm mod-N N/2 xuống mức giá trị đếm khác N/2, lặp lại ta xung có phép 1HZ 82 an Nếu xung clock 50MHZ (chu kỳ 20ns) tín hiệu cho phép ENA1HZ mức logic 20ns, thời gian mức 999,999,980ns Xung lên mức giá trị đếm 25,000,000 xuống mức 25,000,001 4.2.3 Chương trình C# 4.2.3.1 Giao diện C# máy tính Nhóm chúng tơi sử dụng phần Visual Studio để thiết kế giao diện máy tính ngơn ngữ C# Hình 31 Giao diện C# máy tính 4.2.3.2 Lưu đồ nút nhấn connect 83 an Hình 32 Lưu đồ nút nhấn connect Khi nút nhấn Connect nhấn, C# yêu cầu kết nối đến port COM lựa chọn tạo giao thức kết nối với chuẩn giao thức tốc độ Baud 9600, không bit parity, bit start, bit stop 4.2.3.3 Lưu đồ timer Hình 33 Lưu đồ timer 84 an Mỗi có timer đếm đủ thời gian giao diện C# yêu cầu dò port COM kết nối sau xuất ComBox để xuất tên port COM nhằm cho người dùng có khả lựa chọn port cần kết nối 4.2.3.4 Lưu đồ nút nhấn send Hình 34 Lưu đồ nút nhấn send Hình 35 Lưu đồ nút nhấn send 85 an Kiểm tra FPGA kết nối với máy tính hay chưa? Nếu kết nối thành cơng tiến hành gửi liêu (ký tự), chọn màu sắc để hiển thị đỏ, vàng, xanh, … Sau bước chọn hiệu ứng: chữ chạy từ xuống hay từ lên đứng yên 86 an Chương KẾT QUẢ NHẬN XÉT ĐÁNH GIÁ 5.1 Kết 5.1.1 Hình ảnh sản phẩm Sau q trình thực hiện đồ án, nhóm chúng tơi thiết kế thành cơng mơ hình phần cứng giao diện máy tính Hình Sản phẩm sau thi cơng Đây mơ hình sau thi công gồm bảng led ma trận P10 tạo thành băng led có kích thước 64 x 64 87 an 5.1.2 Hình ảnh giao diện máy tính Bằng cách sử dụng ngơn ngữ C# nhóm thiết kế thành cơng giao diện máy tính Hình Giao diện máy tính 5.1.3 Kết đạt 88 an Hình Hiển thị chữ với màu đỏ Bằng cách mở giao diện lên sau kết nối với FPGA, tiến hành nhập chữ Textbox sau chọn màu sắc muốn hiển thị, chọn màu đỏ Tương tự vậy cho màu cịn lại 89 an Hình Hiển thị chữ màu xanh dương Ngồi ra, nhóm thiết đồng hồ kim hiển thị băng led cách giao tiếp module thời gian thực DS1307 Cũng giao diện C#, chọn thêm phần Clock chọn màu muốn hiển thị 90 an Hình 5 Hiển thị đồng hồ kim 91 an 5.2 Đánh giá Sau thực hiện đề tài “Điều khiển Led Quang Báo Bằng FPGA”, nhóm chúng tơi thu kết sau: Hiểu hệ thống hình Led Matrix sử dụng thực tế Phân tích hoạt động vẽ sơ đồ nguyên lý module led matrix Hiểu cách thức sử dụng điều khiển module led matrix Thiết kế module truyền liệu từ FPGA sang Module led matrix Thiết kế thành công module UART nhận liệu từ PC sang FPGA Thiết kế module tạo ký tự quét matrix, đồng thời thư viện chữ cho module led matrix - Nhóm thiết kế đồng hồ kim hiển thị led matrix - Tìm hiểu ngơn ngữ C# để tạo giao diện truyền liệu cho PC Sau trình nghiên cứu thực hiện đề tài, nhóm chúng tơi đánh giá mạch hoạt động theo yêu cầu đề tài đặt - 92 an Chương KẾT LUẬN VÀ HƯỚNG PHÁT TRIỂN 6.1 Kết luận Sau thời gian thực hiện đồ án tốt nghiệp nhóm hồn thành mục tiêu đề ra, thiết kế thi công thành cơng mơ hình quang báo led sử dụng kit FPGA Hồn thành đề tài giúp nhóm hiểu thêm nhiều kiến thức bổ ích như: hiểu lập trình kit FPGA ứng dụng điều khiển, phương pháp quét bảng led matrix full color RGB cách thức để điều khiển bảng led Ngoài ra, nhóm tìm hiểu nghiên cứu ngơn ngữ VHDL cú pháp, phương thức hoạt động cách thức lập trình để tối ưu tài nguyên kit Qua đề tài nhóm nhận thấy điểm mạnh kit FPGA so với kit vi xử lí, thành phần bên FPGA tích hợp nhiều cổng logic, tạo nên ngõ output thay đổi có sự thay đổi input, điều giúp tốc độ điều khiển ứng dụng nhanh Trong vi xử lí chạy chương trình code theo tập lệnh nên thời gian tác động chuyển mạch chậm FPGA Vì việc chọn kit FPGA lựa chọn nhóm ưu tiên để điều khiển bảng led Với mơ hình bảng quảng báo sử dụng kit FPGA để điều khiển này, nhóm nhận thấy có ưu điểm nhược điểm sau: Ưu điểm:     Tốc độ xử lý mạch nhanh Dễ dàng mở rộng thêm bảng led Tạo hiệu ứng đơn giản bảng quang báo Mơ hình gọn gàng thẩm mỹ Nhược điểm:  Chưa có nhiều hiệu ứng đặc sắc bảng quang báo  Chưa hiển thị hình ảnh hay video  Với hệ thống liệu lớn cần phải lưu RAM nhóm chưa nghiên cứu thành cơng 93 an  Mới thay đổi vài màu sắc quang báo chưa thay đổi nhiều màu sắc  Việc gửi liệu từ C# phải qua dây cáp điều gây hạn chế bảng quang báo thường lắp đặt cao Vì phương pháp điều khiển khơng dây Bluetooth, Wifi hay SIM tiện lợi 6.2 Hướng phát triển Qua điểm cần cải thiện nêu đề tài phát triển theo hướng rộng hoàn thiện như: - Bảng led hiển thị nhiều loại font chữ: tiếng anh, tiếng việt, … đồng thời tăng kích thước bảng led cách ghép thêm module led - Giao tiếp với Bluetooth, Wifi hay SIM để dễ dàng điều khiển gửi liệu xuống bảng quang báo - Hiển thị hình ảnh, ảnh động hay đoạn video - Với nhiều hiệu ứng đặc sắc giúp cho bảng quang báo thêm phần sinh động hấp dẫn người xem 94 an TÀI LIỆU THAM KHẢO [1] Giáo trình “Thiết kế vi mạch số với VHDL – Th.S Nguyễn Đình Phú, Th.S Trương Thị Bích Ngà” – Đại Học Sư Phạm Kỹ Thuật TP.HCM tháng 08/2017 [2] Pong_P._Chu, “RTL_Hardware_Design_Using_VHDL”, Wiley-Interscience, 2006 [3] https://www.nandland.com/vhdl/modules/module-uart-serial-port-rs232.html [4] http://www.alldatasheet.com 95 an an

Ngày đăng: 27/12/2023, 03:26

Xem thêm:

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN