Thiết kế bộ tạo dao động có tần số dao động trong dải tần số 100 hz đến 1 9 ghz sử dụng công nghệ cmos

35 1 0
Thiết kế bộ tạo dao động có tần số dao động trong dải tần số 100 hz đến 1 9 ghz sử dụng công nghệ cmos

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

  TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI KHOA ĐIỆN TỬ  BÁO CÁO TỔNG KẾT ĐỀ TÀI NGHIÊN CỨU KHOA HỌC CỦA SINH VIÊN THIẾT KẾ BỘ TẠO DAO ĐỘNG CÓ TẦN SỐ DAO ĐỘNG TRONG DẢI TẦN SỐ 100 HZ ĐẾN 1.9 GHZ SỬ DỤNG CÔNG NGHỆ CMOS Sinh viên thực hiên: Nguyễn Văn Tuấn     2019602870 Nguyễn Thị Hải 2021603927 Nguyễn Thị Hịa 2021606590 Hồng Anh Tú 2021600252 Nguyễn Huy Hoàng 2021600378 Giảng viên hướng dẫn: ThS Hà Thị Phương Hà Nội - 2023   MỤC LỤC DANH SÁCH TỪ VIẾT TẮT .3 DANH MỤC HÌNH .4 DANH MỤC BẢNG LỜI CAM ĐOAN LỜI MỞ ĐẦU CHƯƠNG 1: TỔNG QUAN VỀ BỘ TẠO DAO ĐỘNG ĐIỀU KHIỂN BẰNG ĐIỆN ÁP 1.1 Giới thiệu VCO 1.2 Tiêu chuẩn Barkhausen 10 1.3 Thông số kĩ thuật quan trọng VCO 11 1.3.1 Nhiễu pha .11 1.3.2 Hệ số đánh giá (FoM) 12 1.3.3 Phạm vi điều chỉnh .12 1.3.4 Điện tiêu thụ 13 1.3.5 Khả sản xuất 13 1.4 Bộ tạo dao động LC (LC oscillator) 13 1.5 Bộ tạo dao động vòng (Ring oscillator - RO) 15 1.5.1 Nguyên lý làm việc tạo dao động vòng .15 1.5.2 Bộ tạo dao động vòng đầu vào 17 1.5.3 Bộ tạo dao động vòng vi sai 17 1.6 So sánh tạo dao động vòng tạo dao động LC 18 1.6.1 Nhiễu pha .18 1.6.2 Dải điều chỉnh 19 1.6.3 Khả sản xuất 19 1.6.4 Kết so sánh tạo dao động vòng LC 19   CHƯƠNG 2: THIẾT KẾ MẠCH TẠO DAO ĐỘNG ĐIỀU KHIỂN BẰNG ĐIỆN ÁP SỬ DỤNG BỘ TẠO DAO ĐỘNG VÒNG 20 2.1 Sơ đồ khối VCO dạng vòng đề xuất 20 2.2 Bộ tạo dao động vòng điều khiển điện áp ba tầng 20 2.3 Mạch điều khiển dòng đầu vào đề xuất 21 2.4 R-VCO với cổng truyền tải (Transmission-Gate – TG) 22 2.5 Mạch điều khiển độ rộng xung 24 CHƯƠNG 3: KẾT QUẢ MÔ PHỎNG CỦA R – VCO BA TẦNG TRÊN CADENCE VIRTUOSO .25 3.1 Kết mô R-VCO ba tầng mạch điều khiển dịng 25 3.2 Kết mơ R-VCO ba tầng có mạch điều khiển dịng 27 3.3 Kết so sánh cấu trúc đề xuất 30 KẾT LUẬN 33 TÀI LIỆU THAM KHẢO 34   DANH SÁCH TỪ VIẾT TẮT VCO Voltage Controlled Oscillator Bộ tạo dao động điều khiển điện áp R-VCO Ring Voltage Controlled Oscillator Bộ tạo dao động vòng điều khiển điện áp PN Phase Noise Nhiễu pha FoM Figure of Merit Hệ số đánh giá TG Transmission Gate Cổng truyền tải RO Ring Oscillator Bộ tạo dao động vịng DANH MỤC HÌNH Hình 1.1: Định nghĩa mức tăng VCO (Tần số thay đổi thay đổi điện áp) .9 Hình 1.2: Hệ thống phản hồi đơn giản Hình 1.3: Các chu kì chuyển pha Barkhausen a) dịch pha 180o do H(s),.10 Hình 1.4: Minh họa nhiễu 11 Hình 1.5: Mạch LC song song 13 Hình 1.6: Điện trở kí sinh từ cuộn cảm tụ điện 14 Hình 1.7: Bộ tạo dao động LC với mạch tích cực .14 Hình 1.8: Cấu trúc Ring Oscillator sử dụng giai đoạn biến tần 15 Hình 1.9: Mơ hình giai đoạn trễ đơn giản .15 Hình 1.10: Bộ tạo dao động vòng đầu vào .16 Hình 1.11: Bộ tạo dao động vịng với tín hiệu vi sai .17   Hình 2.1: Sơ đồ khối VCO………………………………………………19 Hình 2.2: R-VCO ba tầng 20 Hình 2.3: Mạch diều khiển dòng 20 Hình 2.4: Mạch mơ hình RC tín hiệu nhỏ tương đương cổng truyền 21 Hình 2.5: Mơ hình tính tốn độ trễ giai đoạn với TG 22 Hình 2.6: R-VCO tầng với TG 22 Hình 2.7: Mạch điều chỉnh độ rộng xung 23 Hình 3.1: Đáp ứng chu kì 16 ms tương ứng với 62 Hz với V CT = 0V, V  DT = 0.9V……………………………………………………………………… ….25 Hình 3.2: Đáp ứng chu kì 4.1 µs tương ứng với 241 Hz với V CT = 0.4V, V  DT = 0.9V .25 Hình 3.3: Đáp ứng chu kì 3.45 ns tương ứng với 289.9 MHz với V CT = 0.8V, V  DT  = 0.9V 26 Hình 3.4: Đáp ứng chu kì 1.14 ns tương ứng với 874.8 MHz với V CT = 1.2V, V  DT  = 0.9V 26 Hình 3.5: Đáp ứng chu kì 790 ps tương ứng với 1.27 GHz với V CT = 1.8V, V  DT = 0.9V .27 Hình 3.6: Đáp ứng chu kì 15.8 ms tương ứng với 63 Hz với V CT = 0V, V  DT = 0.9V 27 Hình 3.7: Đáp ứng chu kì 4.06 µs tương ứng với 246 MHz với V CT = 0.4V, V  DT = 0.9V .28 Hình 3.8: Đáp ứng chu kì 3.0 ns tương ứng với 332.7 MHz với V CT = 0.8V, V  DT = 0.9V .28 Hình 3.9: Đáp ứng chu kì 896.5 ps tương ứng với 1.118 GHz với V CT = 1.2V, V  DT = 0.9V 29   Hình 3.10: Đáp ứng chu kì 520 ps tương ứng với 1.925 GHz với V CT = 1.8V, V  DT  = 0.9V 29 Hình 3.11: Kết so sánh R-VCO ba tầng có điều khiển dịng khơng có điều khiển dịng .30 Hình 3.12: Phạm vi điều chỉnh độ rộng xung đầu .31 Hình 3.13: Bố cục mạch đề xuất .31   DANH MỤC BẢNG Bảng 1.1: So sánh mạch tạo dao động vòng LC………………………….18 Bảng 2.1: Yêu cầu thiết kế……………………………………………………19 Bảng 3.1: So sánh xuất…………………………………31 kết VCO đề   LỜI CAM ĐOAN Để hoàn thành đề tài này, nhóm nghiên cứu chúng em có tham khảo số tài liệu liên quan đến thiết kế tạo dao động điều khiển điện áp (Ring Voltage Control Oscillator - VCO) Chúng em xin cam đoan báo cáo nghiên cứu khoa học chúng em thực hiện, số liệu kết nghiên cứu đề tài trung thực Mọi giúp đỡ cho báo cáo cảm ơn thơng tin trích dẫn báo cáo ghi nguồn gốc rõ ràng   LỜI MỞ ĐẦU Trong vài năm qua, hệ thống chip (System on Chip - SoC) có tính cơng suất thấp, di động, mật độ đóng gói, tốc độ cao, mơ đun hóa chi phí điện tử thấp làm tăng độ phức tạp việc thiết kế mạch tương tự Chẳng hạn, với tiến  bộ internet, cơng nghệ, quốc phịng lĩnh vực kỹ thuật quan trọng thúc đẩy gia tăng cạnh tranh tín hiệu tương tự tín hiệu hỗn hợp SoC [1] Các xử lý cần tốc độ liệu khác loại liên kết nối tiếp Bên cạnh đó, tiêu chuẩn truyền đẫn khơng dây khác có tần số sóng mang riêng Hơn nữa, giống máy tính xách tay điện thoại di động, việc mở rộng tần số trở nên quan trọng với nhiều cách thức hoạt động cơng suất thấp [2] Từ mục đích nghiên cứu trên, cấu trúc tạo dao động vòng điều khiển điện áp (Ring Voltage Control Oscillator – R-VCO) với tần số điều chỉnh rộng, công suất thấp, không gian thiết kế nhỏ không sử dụng nguồn điện bổ sung chọn để thiết kế dao tạo động điều khiển điện áp (VCO) Trong báo cáo nghiên cứu khoa học “ Thiết kế tạo dao động có tần số dao động dải tần số 100 Hz đến 1.9 GHz sử  dụng công nghệ CMOS” này, chúng em trình bày thiết kế tổng quan hệ thống, sau tập trung vào chi tiết khối VCO đề xuất Để thực báo cáo nghiên cứu khoa học này, trước tiên chúng em xin cảm ơn tới thầy, trường Đại học Cơng nghiệp Hà Nội nói chung thầy, khoa Điện tử nói riêng tạo điều kiện tốt trình học tập nghiên cứu Đặc biệt, chúng em xin chân thành cảm ơn tới ThS Hà Thị Phương đã tận tình hướng dẫn q trình hồn thiện báo cáo trau dồi cho chúng em kỹ chuyên môn kinh nghiệm kỹ trình bày, làm việc nhóm quản lý thời gian Bên cạnh chúng em xin gửi lời cảm ơn tới thành viên nhóm nghiên cứu ICD Lab giúp đỡ tận tình để hồn thiện báo cáo Tuy nhiên trình nghiên cứu đề tài, kiến thức chuyên ngành hạn chế nên chúng em cịn nhiều thiếu sót tìm hiểu, đánh giá trình bày đề tài Rất mong nhận quan tâm, góp ý thầy để đề tài chúng em đầy đủ hoàn chỉnh Chúng em xin chân thành cảm ơn!   10 CHƯƠNG 1: TỔNG QUAN VỀ BỘ TẠO DAO ĐỘNG ĐIỀU KHIỂN BẰNG ĐIỆN ÁP Chương trình bày ngắn gọn lý thuyết tạo dao động điều khiển  bằng điện áp bao gồm thông số kỹ thuật loại VCO gồm tạo dao động LC vòng 1.1 Giới thiệu VCO Mạch tạo dao động điều khiển điện áp (Voltage-controlled oscillator VCO) mạch tạo dao động điện tử có tần số dao động điều khiển ngõ vào điện áp Do đó, VCO sử dụng để điều chế tần số (Frequency modulation - FM) điều chế pha (Phase Modulation - PM) VCO mạch hữu ích tần số dao động đặt thành giá trị mong muốn Phương trình điều khiển đưa cơng thức (1.1), (1.2) Trong  f 0 đại điện cho tần số trung tâm tương ứng với ,  K VCO là “độ lợi” “độ nhạy” VCO (được biểu thi rad / s/V ) Phạm vi đạt  f 2  - f 1, gọi “phạm vi điều chỉnh” [3] Định nghĩa VCO thể Hình 1.1   (1.1) (1.2) Bộ tạo dao động (Complementary-Metal-Oxide-Semiconductor – CMOS) chủ yếu triển khai dạng tạo dao động vòng tạo dao động LC, Ưu điểm tạo dao động vòng tạo dao động LC dễ dàng thay đổi tần số với điện áp điều khiển định, khiến chúng trở thành tạo dao động cho VCO   21 CHƯƠNG 2: THIẾT KẾ MẠCH TẠO DAO ĐỘNG ĐIỀU KHIỂN BẰNG ĐIỆN ÁP SỬ DỤNG BỘ TẠO DAO ĐỘNG VỊNG Chương trình bày u cầu thiết kế R-VCO theo Bảng 2.1 Bảng 2.1: Yêu cầu thiết kế Tham số Miêu tả Giá trị Đơn vị V DD Điện áp nguồn cấp 1.8 V V CT  Điện áp điều khiển tần số ~ 1.8 V V  DT  Điện áp điều khiển độ rộng xung ~ 1.8 V V  B Điện áp phân cực 0.5 V  f max Tần số lớn 1.9 GHz 2.1 Sơ đồ khối R-VCO đề xuất Hình 2.1 mơ tả khối mạch tạo dao động vòng điều khiển điện áp Trong báo cáo này, VCO tạo thành từ ba thành phần: mạch điều khiển dòng, mạch tạo dao động mạch điều khiển độ rộng xung mạch Trong V  DD là nguồn cấp, V CT  là điện áp điều chỉnh tần số, V  DT   điện áp điều chỉnh, V  B là điện áp  phân cực cho bóng bán dẫn V G1, V G2, V G3 là điện áp bật tắt bóng bán dẫn Hình 2.1: Sơ đồ khối R-VCO đề xuất 2.2 Bộ tạo dao động vòng điều khiển điện áp ba tầng R-VCO ba tầng dựa biến tần CMOS ba giai đoạn hiển thị Hình 2.2 Trong bao gồm biến trở điều chỉnh điện áp V CT   để điều chỉnh tần số mạch Có thể nói sở mạch hoạt động biến tần, đầu vào tầng thứ tăng lên đầu tầng thứ ba giảm xuống mức   22 thấp Vì đầu mức thấp đưa trở lại đầu vào, nên thay đổi tín hiệu đầu vào ở  mức thấp, độ trễ lan truyền phát sinh giai đoạn Sau nửa chu kỳ này, kịch ngược lại xảy đầu vào bắt đầu giảm theo cách dao động tạo Hình 2.2: R-VCO ba tầng 2.3 Mạch điều khiển dòng đầu vào đề xuất Hình 2.3 cho thấy mạch điều khiển dịng điện đầu vào đề xuất bao gồm  bốn nguồn dòng điện  M 1,2,4,6 phân cực điện áp V  B Các bóng bán dẫn  M 3,5,7   dùng làm cơng tắc điều khiển nguồn dòng cho nhánh  M 2,4,6   bởi V G1,2,3 Tiếp theo, nguồn dòng đưa vào  M 9 Sau đó, M 10,8 sao chép dịng điện từ  M 9 và đưa vào tạo dao động để thay đổi dòng điện biến tần từ thay đổi tần số tạo dao động Hình 2.3: Mạch diều khiển dịng   23 2.4 R-VCO với cổng truyền tải (Transmission-Gate – TG) Bộ tạo dao động với phạm vi điều chỉnh rộng đáp ứng nhu cầu bản, yêu cầu việc truyền dẫn thông tin Sử dụng phương pháp khác để tăng phạm vi điều chỉnh tần số [2], với chi phí tiêu thụ điện diện tích chip lớn Để giải thách thức này, thiết kế tạo dao động vòng dải điều chỉnh rộng cách sử dụng cổng truyền tải (Transmistion gate – TG) đầu giai đoạn thay cho biến trở thông thường Việc điều chỉnh tần số đạt cách thay đổi điện dung tải ký sinh thông qua điện áp điều khiển V CT  Tổng điện áp áp dụng cho NMOS PMOS TG phải với điện áp cung cấp So với thiết kế dựa cổng truyền đề xuất [14], mạch cung cấp đường dẫn đối xứng cho chu kỳ sạc xả, loại bỏ thay đổi chu kỳ làm việc để đáp ứng với quy định điện áp điều khiển Cổng truyền tải (TG) mơ tả Hình 2.4 với hai bóng bán dẫn NMOS PMOS kết nối song song hoạt động điện trở điều chỉnh Điện trở cổng truyền điều chỉnh hai điện áp đặt cổng  bóng bán dẫn TG thiết kế để hoạt động công tắc điều khiển điện áp Khi V CT   tăng, NMOS PMOS bị lệch vùng bão hòa điện trở cổng truyền giảm Nếu V CT  giảm, hai MOSFET bị lệch dần vùng tuyến tính điện trở   bắt đầu tăng lên Vì vậy, điện trở dao động đáng kể tùy thuộc vào điện áp cổng,  RTG = r 03//r 04 Trong r 03, r 03 là điện trở bật  M 3,4 Hình 2.4: Mạch mơ hình RC tín hiệu nhỏ tương đương cổng truyền Mơ hình tính toán độ trễ giai đoạn với TG  được thể Hình 2.5 Tại đây, biến trở  RTG được thêm vào đầu biến tần Độ trễ giai đoạn t dt  có thể tính từ cơng thức (2.1) Vì bóng bán dẫn biến tần coi cơng tắc, nên thay điện trở 1/ G M  trong   24 G M  = gm0+gm1, gm0,1 (Transconductance) độ hộ dẫn bóng bán dẫn  M 0,1 [15] Do đó, độ trễ giai đoạn biến tần t dt  và tần số dao động tính tốn lại thơng qua cơng thức (2.2)   (2.1)   (2.2) Hình 2.5: Mơ hình tính tốn độ trễ giai đoạn với TG Hình 2.6 mơ tả R-VCO ba tầng sử dụng cổng truyền tải, bao gồm  biến tần cổng truyền tải Điện áp V CT  kiểm soát điện trở cổng truyền tải, ảnh hưởng đến thời gian trễ giai đoạn Do đó, tần số tín hiệu đầu bị thay đổi Hình 2.6: R-VCO tầng với TG   25 2.5 Mạch điều khiển độ rộng xung Mạch điều khiển độ rộng xung, hoạt động biến tần di chuyển điểm hoạt động, bao gồm hai biến tần kết nối song song với hai đầu vào, tín hiệu từ tạo dao động đầu điện áp điều khiển độ rộng xung V  DT  Một  biến tần khác thêm vào để tinh chỉnh tín hiệu sau biến đổi [16] Mạch điện mơ tả Hình 2.7 Giả sử tất bóng bán dẫn hoạt động vùng bão hịa, điện áp V  BUFF  có thể tính (2.3) r 5,6,7,8  điện trở bật bóng  bán dẫn M 5,6,7,8   (2.3) V  BUFF  được xác định điện trở bật  M 7  và  M 8, điều chỉnh V  DT  Diện áp điều khiển độ rộng xung V  DT  có thể thay đổi độ rộng xung tín hiệu đầu  bằng cách di chuyển điểm đảo ngược biến tần tạo  M 5 và M 6  Hình 2.7: Mạch điều chỉnh độ rộng xung   26 CHƯƠNG 3: KẾT QUẢ MÔ PHỎNG CỦA R – VCO BA TẦNG TRÊN CADENCE VIRTUOSO 3.1 Kết mô R-VCO ba tầng khơng có mạch điều khiển dịng Các đáp ứng chu kì tần số cấu trúc R-VCO ba tầng khơng có mạch điều khiển dịng, thay đổi điện áp V CT  lần lượt V, 0.4 V, 0.8 V, 1.2 V, 1.8 V thể hình đây: 16 ms Hình 3.1: Đáp ứng chu kì 16 ms tương ứng với 62 Hz với V CT = 0V, V  DT = 0.9V 4.1 µs Hình 3.2: Đáp ứng chu kì 4.1 µs tương ứng với 241 Hz với V CT = 0.4V, V  DT = 0.9V   27 3.45 ns Hình 3.3: Đáp ứng chu kì 3.45 ns tương ứng với 289.9 MHz với V CT = 0.8V, V  DT = 0.9V 1.41 ns Hình 3.4: Đáp ứng chu kì 1.14 ns tương ứng với 874.8 MHz với V CT = 1.2V, V  DT = 0.9V   28 790 ps Hình 3.5: Đáp ứng chu kì 790 ps tương ứng với 1.27 GHz với V CT = 1.8V, V  DT = 0.9V 3.2 Kết mơ R-VCO ba tầng có mạch điều khiển dịng Các đáp ứng chu kì tần số cấu trúc R-VCO ba tầng có mạch điều khiển dòng, thay đổi điện áp V CT  lần lượt V, 0.4 V, 0.8V, 1.2V, 1.8V thể hình đây: 15.8 ms Hình 3.6: Đáp ứng chu kì 15.8 ms tương ứng với 63 Hz với V CT = 0V, V  DT = 0.9V   29 4.06 Hình 3.7: Đáp ứng chu kì 4.06 µs tương ứng với 246 MHz với V CT = 0.4V, V  DT = 0.9V 3.0 ns Hình 3.8: Đáp ứng chu kì 3.0 ns tương ứng với 332.7 MHz với V CT = 0.8V, V  DT = 0.9V   30 896.5 ps Hình 3.9: Đáp ứng chu kì 896.5 ps tương ứng với 1.118 GHz với V CT = 1.2V, V  DT = 0.9V 520 ps Hình 3.10: Đáp ứng chu kì 520 ps tương ứng với 1.925 GHz với 0.9V V CT = 1.8V, V  DT =   31 3.3 Kết so sánh cấu trúc đề xuất Toàn hệ thống thiết kế mơ theo quy trình cơng nghệ CMOS 90 nm Hình 3.13 cho thấy VCO đề xuất chiếm diện tích chip hoạt động 0,078 mm2 Tần số dao động so với điện áp điều khiển V CT   thể Hình 3.11 Trong trường hợp V CT  thấp 0,6 V, đầu tín hiệu dao động thay đổi nhỏ điện trở cổng truyền tải  RTG còn lớn dẫn đến độ trễ giai đoạn khơng thay đổi đáng kể Do tần số có thay đổi nhiều giai đoạn Mặt khác, tần số tín hiệu đầu VCO tăng lên tới 1,9 GHz V CT  = 1,8 V Hình 3.12 cho thấy kết điều chỉnh độ rộng xung tần số đại diện Độ rộng xung tín hiệu đầu điều chỉnh tuyến tính từ 20 - 80% Bảng 3.1 bảng so sánh thông số cấu trúc VCO khác với cấu trúc đề xuất Hình 3.11: Kết so sánh R-VCO ba tầng có điều khiển dịng khơng có điều khiển dịng Hình 3.12: Phạm vi điều chỉnh độ rộng xung đầu   32 Hình 3.13: Bố cục mạch đề xuất Bảng 3.1: So sánh kết VCO đề xuất Công nghệ CMOS  Nguồn cung cấp (V) Tần số lớn Tuần số nhỏ  K VCO [2] [17] [16] This work   350 nm 180 nm 180 nm 90 nm 3.3 3.3 1.5 1.8 260MHz vs 368.9MHz vs 1.4GHz vs 1.9 GHz vs V CT=3.3V V CT=3.3V V CT=1.5V V CT=1.8V 17.1Hz vs 16MHz vs 300Hz vs 100Hz vs V CT=0V V CT=1V V CT=0V V CT=0V 105.27MHz/V 153.43MHz/V 1.38GHz/V 1.5GHz/V   33 KẾT LUẬN Một thiết kế VCO dựa tạo dao động vòng đề xuất Thiết kế đề xuất cho phép thực tạo dao động vòng điều khiển điện áp với dải điều chỉnh rộng dao động điện áp nhanh Kết mô sử dụng công nghệ CMOS 90 nm cho thấy tần số điều chỉnh lên tới 1,925 GHz độ rộng xung điều chỉnh phạm vi rộng 20-80% độc lập với tần số dao động Hơn nữa, tần số dao động tối đa mạch đề xuất phụ thuộc vào kích thước thiết bị Mạch đề xuất áp dụng cho điện áp cung cấp thấp cấu trúc đơn giản cơng suất tối đa mạch tần số cao 2.34 mW Hướng phát triển Tại thời điểm hoàn thành nghiên cứu cấu trúc VCO cấu trúc R-VCO ba tầng Để phát triển nghiên cứu, hướng nghiên cứu, việc mô với nhiều kiến trúc khác hay nâng cấp kiến trúc cũ điều cần thiết Do đó, thiết kế tạo dao động vịng với dải tần số lớn công suất thấp lựa chọn phù hợp thiết bị di động, máy tính, … ngày sử dụng tần số cao Trong tương lai, nghiên cứu định hướng chế tạo tiến trình sản xuất thấp giúp cải thiện công suất diện tích chip   34 TÀI LIỆU THAM KHẢO [1] S Save and B K Mishra, " Performance Estimation of Voltage Controlled Ring  Oscillator Designed for Soc Application", Procedia Comput Sci., vol 79, pp 948–  956, 2016, doi: 10.1016/j.procs.2016.03.120 [2] Meng-Lieh Sheu, Ta-Wei Lin and Wei-Hung Hsu, "Wide frequency range voltage controlled ring oscillators based on transmission gates,"  2005 IEEE International Symposium on Circuits and Systems (ISCAS), Kobe, Japan, 2005, pp 2731-2734 Vol 3, doi: 10.1109/ISCAS.2005.1465191 [3] B Razavi, '' Design of Analog CMOS Integrated Circuits,'' Tata McGraw-Hill, 2017 [4] N M Nguyen and R G Meyer, "Start-up and frequency stability in high-  frequency oscillators,"  in IEEE Journal of Solid-State Circuits, vol 27, no 5, pp 810- 820, May 1992, doi: 10.1109/4.133172 [5] M Tiebout, “VCO Basics,” in K Itoh, T Lee, T Sakurai, W M C Sansen, D SchmittLandsiedel (eds) Low Power VCO Design in CMOS, chap 1, pp 3-12, Springer, Berlin, 2006 [6] Y Wachi, T Nagasaku, and H Kondoh, “A 28GHz low-power-noise CMOS  VCO using an amplitude-redistribution technique,” in IEEE International Solid-State Circuits Conference - Digest of Technical Papers, 2008, pp 482-630 [7] M Voicu, D Pepe, and D Zito, “Performance and trends im millimeter wave CMOS oscillators for emerging wireless applications,”  International Journal of  Microwave Science and Technology, vol 2013, pp [8] B Razavi, RF Microelectronics, 2nd Ed U.S.A Prentice Hallssss Communications Engineering and Emerging Technologies Series, 2011 [9] A K M Kamruzzaman Mollah “Design of a tunable cml-based differential  ring oscillator with short start-up and switching transients,” Master's dissertation, The University of British Columbia, Vancouver, Canada, 2004 [10] J A McNeill, and D Ricketts The Designer’s Guide to Jitter in Ring Oscillators 2009 [11] Razavi, “A Study of Phase Noise in CMOS Oscillators,” IEEE Journal of SolidState Circuits, vol 31, no 3, pp.331-343, 1996   35 [12] F Svelto, and R Castello, “A Bond-Wire Inductor-MOS Varactor VCO Tunable  from 1.8 to 2.4 GHZ,” IEEE Transactions on Microwave Theory and Techniques, vol 50, no.1, pp 403-410, 2002 [13] S Docking “A Method to Derive an Equation for the Oscillation Frequency of  a Ring Oscillator,” Master's dissertation, Dept Elect., Electron Eng., University of  Waterloo, Waterloo, Ontario, Canada, 2002 [14] I.-C Hwang, C Kim, and S.-M Kang, “A CMOS self-regulating VCO with low  supply sensitivity,” IEEE Journal of Solid-State Circuits, vol 39, no 1, pp 42-48, 2004.18 [15] Y Savaria, D Chtchvyrkov, J.F Currie, “ A fast CMOS voltage-controlled ring  oscillator ” Circuits and Systems”, 1994 ISCAS ‘94, 1944 IEEE International Symposium, vol 4, pp 359-362, 30 May-2 June 1994 [16] M -H Nguyen and C -K Pham, "A wide frequency range and adjustable duty cycle CMOS ring voltage controlled oscillator,"  International Conference on Communications and Electronics 2010, Nha Trang, Vietnam, 2010, pp 107-109, doi: 10.1109/ICCE.2010.5670691 [17] Nisha Gupta, " Voltage-Controlled Ring Oscillator for Low Phase Noise  Application," International Journal of Computer Applications (0975 – 8887), volume 14 -No.5, January 2011

Ngày đăng: 17/05/2023, 18:46

Tài liệu cùng người dùng

Tài liệu liên quan